Skip to content

Commit

Permalink
Revert "axi_dw_downsizer: Modify testbench to replicate error"
Browse files Browse the repository at this point in the history
This reverts commit 8e15a81.
  • Loading branch information
micprog committed Jul 18, 2024
1 parent f598c97 commit aec45dc
Showing 1 changed file with 6 additions and 10 deletions.
16 changes: 6 additions & 10 deletions test/tb_axi_dw_downsizer.sv
Original file line number Diff line number Diff line change
Expand Up @@ -74,11 +74,10 @@ module tb_axi_dw_downsizer #(
.UW (TbAxiUserWidth ),
.TA (TbApplTime ),
.TT (TbTestTime ),
.MAX_READ_TXNS (8 ),
.MAX_WRITE_TXNS (128 ),
.AXI_BURST_FIXED(1'b0 ),
.AXI_ATOPS (1'b1 ),
.AX_MAX_WAIT_CYCLES(5)
.MAX_READ_TXNS (8 ),
.MAX_WRITE_TXNS (8 ),
.AXI_BURST_FIXED(1'b0 ),
.AXI_ATOPS (1'b1 )
) master_drv = new (master_dv);

// Slave port
Expand All @@ -105,9 +104,7 @@ module tb_axi_dw_downsizer #(
.IW(TbAxiIdWidth ),
.UW(TbAxiUserWidth ),
.TA(TbApplTime ),
.TT(TbTestTime ),
.RESP_MIN_WAIT_CYCLES(100),
.RESP_MAX_WAIT_CYCLES(1000)
.TT(TbTestTime )
) slave_drv = new (slave_dv);

`AXI_ASSIGN(slave_dv, slave)
Expand Down Expand Up @@ -148,8 +145,7 @@ module tb_axi_dw_downsizer #(
fork
// Act as a sink
slave_drv.run() ;
master_drv.run(1, 4096);
// master_drv.run(200, 200);
master_drv.run(200, 200);
join_any

// Done
Expand Down

0 comments on commit aec45dc

Please sign in to comment.