Skip to content

Commit

Permalink
Modify test to force the error
Browse files Browse the repository at this point in the history
  • Loading branch information
micprog committed Jul 18, 2024
1 parent 165264b commit d378616
Show file tree
Hide file tree
Showing 2 changed files with 10 additions and 2 deletions.
8 changes: 8 additions & 0 deletions scripts/run_vsim.sh
Original file line number Diff line number Diff line change
Expand Up @@ -49,6 +49,14 @@ exec_test() {
call_vsim tb_$1
;;
axi_dw_downsizer)
call_vsim tb_axi_dw_downsizer \
-gTbAxiSlvPortDataWidth=32 \
-gTbAxiMstPortDataWidth=16 \
-gTbInitialBStallCycles=100000 -t 1ps
call_vsim tb_axi_dw_downsizer \
-gTbAxiSlvPortDataWidth=32 \
-gTbAxiMstPortDataWidth=16 \
-gTbInitialRStallCycles=100000 -t 1ps
for AxiSlvPortDataWidth in 8 16 32 64 128 256 512 1024; do
for (( AxiMstPortDataWidth = 8; \
AxiMstPortDataWidth < $AxiSlvPortDataWidth; \
Expand Down
4 changes: 2 additions & 2 deletions test/tb_axi_dw_downsizer.sv
Original file line number Diff line number Diff line change
Expand Up @@ -21,8 +21,8 @@ module tb_axi_dw_downsizer #(
parameter int unsigned TbAxiSlvPortDataWidth = 64 ,
parameter int unsigned TbAxiMstPortDataWidth = 32 ,
parameter int unsigned TbAxiUserWidth = 8 ,
parameter int unsigned TbInitialBStallCycles = 1000,
parameter int unsigned TbInitialRStallCycles = 1000,
parameter int unsigned TbInitialBStallCycles = 0,
parameter int unsigned TbInitialRStallCycles = 0,
// TB Parameters
parameter time TbCyclTime = 10ns,
parameter time TbApplTime = 2ns ,
Expand Down

0 comments on commit d378616

Please sign in to comment.