Skip to content

Releases: semify-eda/fstdumper

1.0.0

16 Aug 10:08
Compare
Choose a tag to compare

This is the initial release for the fstdumper. The core function, dumping to .fst is already working.

  • fstdumper working on Icarus Verilog Version 11.0 (stable)
  • fstdumper working on Cadence Xcelium 19.09-s001

The file fstdumper.so.vpi is the exact same as fstdumper.so, it is renamed to be used by iverilog which expects a .vpi file ending.