Skip to content

Commit

Permalink
code header clean up
Browse files Browse the repository at this point in the history
  • Loading branch information
ruck314 committed Jul 24, 2024
1 parent 3eaa955 commit 55c8f59
Show file tree
Hide file tree
Showing 7 changed files with 0 additions and 13 deletions.
2 changes: 0 additions & 2 deletions shared/rtl/AxiPcieCommonPkg.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : AxiPcieCommonPkg.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Package file for AXI PCIe Core
Expand All @@ -16,7 +15,6 @@
library ieee;
use ieee.std_logic_1164.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiLitePkg.all;
Expand Down
2 changes: 0 additions & 2 deletions shared/rtl/AxiPcieCrossbar.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : AxiPcieCrossbar.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: AXI DMA Crossbar
Expand All @@ -16,7 +15,6 @@
library ieee;
use ieee.std_logic_1164.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;
Expand Down
2 changes: 0 additions & 2 deletions shared/rtl/AxiPcieDma.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : AxiPcieDma.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for AXIS DMA Engine
Expand All @@ -18,7 +17,6 @@ use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;


library surf;
use surf.StdRtlPkg.all;
use surf.SsiPkg.all;
Expand Down
2 changes: 0 additions & 2 deletions shared/rtl/AxiPcieReg.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : AxiPcieReg.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: AXI-Lite Crossbar and Register Access
Expand All @@ -16,7 +15,6 @@
library ieee;
use ieee.std_logic_1164.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;
Expand Down
2 changes: 0 additions & 2 deletions shared/rtl/AxiPcieRegWriteDeMux.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : AxiPcieRegWriteDeMux.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: DEMUX the register access and
Expand All @@ -18,7 +17,6 @@ use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;
Expand Down
1 change: 0 additions & 1 deletion shared/rtl/AxiPcieSharedPkg.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : AxiPcieSharedPkg.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Shared Package file for AXI PCIe Core
Expand Down
2 changes: 0 additions & 2 deletions shared/rtl/AxiPcieUltrascalePlusIrqFsm.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : AxiPcieUltrascalePlusIrqFsm.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: AXI PCIe Ultrascale+ IRQ FSM
Expand All @@ -18,7 +17,6 @@ use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;


library surf;
use surf.StdRtlPkg.all;

Expand Down

0 comments on commit 55c8f59

Please sign in to comment.