Skip to content

Commit

Permalink
code header clean up
Browse files Browse the repository at this point in the history
  • Loading branch information
ruck314 committed Jul 25, 2024
1 parent 9feb3e1 commit c1918e8
Show file tree
Hide file tree
Showing 78 changed files with 0 additions and 130 deletions.
2 changes: 0 additions & 2 deletions hardware/AbacoPc821/pcie/rtl/AbacoPc821PciePhyWrapper.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : AbacoPc821PciePhyWrapper.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for AXI PCIe Core
Expand All @@ -16,7 +15,6 @@
library ieee;
use ieee.std_logic_1164.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;
Expand Down
1 change: 0 additions & 1 deletion hardware/AbacoPc821/rtl/KU085/AxiPciePkg.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : AxiPciePkg.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Package file for AXI PCIe Core
Expand Down
1 change: 0 additions & 1 deletion hardware/AbacoPc821/rtl/KU115/AxiPciePkg.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : AxiPciePkg.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Package file for AXI PCIe Core
Expand Down
2 changes: 0 additions & 2 deletions hardware/AlphaDataKu3/pcie/rtl/AlphaDataKu3PciePhyWrapper.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : AlphaDataKu3PciePhyWrapper.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for AXI PCIe Core
Expand All @@ -16,7 +15,6 @@
library ieee;
use ieee.std_logic_1164.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;
Expand Down
2 changes: 0 additions & 2 deletions hardware/AlphaDataKu3/rtl/AlphaDataKu3Core.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : AlphaDataKu3Core.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: AXI PCIe Core for ADM-PCIE-KU3 board (PCIe GEN3 x 8 lanes)
Expand Down Expand Up @@ -34,7 +33,6 @@ use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiLitePkg.all;
Expand Down
2 changes: 0 additions & 2 deletions hardware/AlphaDataKu3/rtl/AxiPciePkg.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : AxiPciePkg.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Package file for AXI PCIe Core
Expand All @@ -16,7 +15,6 @@
library ieee;
use ieee.std_logic_1164.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiLitePkg.all;
Expand Down
2 changes: 0 additions & 2 deletions hardware/AlphaDataKu3/rtl/TerminateQsfp.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : TerminateQsfp.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: TerminateQsfp File
Expand All @@ -18,7 +17,6 @@ use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;


library surf;
use surf.StdRtlPkg.all;

Expand Down
1 change: 0 additions & 1 deletion hardware/BittWareXupVv8/core/BittWareXupVv8Core.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : BittWareXupVv8Core.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: AXI PCIe Core for BittWare XUP-VV8 (PCIe GEN3 x 16 lanes)
Expand Down
1 change: 0 additions & 1 deletion hardware/BittWareXupVv8/core/TerminateQsfp.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : TerminateQsfp.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: TerminateQsfp File
Expand Down
1 change: 0 additions & 1 deletion hardware/BittWareXupVv8/core/VU13P/AxiPciePkg.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : AxiPciePkg.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Package file for AXI PCIe Core
Expand Down
1 change: 0 additions & 1 deletion hardware/BittWareXupVv8/core/VU9P/AxiPciePkg.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : AxiPciePkg.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Package file for AXI PCIe Core
Expand Down
1 change: 0 additions & 1 deletion hardware/BittWareXupVv8/ddr/rtl/MigAll.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : MigAll.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for the MIG core
Expand Down
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : BittWareXupVv8PciePhyWrapper.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for AXI PCIe Core
Expand All @@ -18,7 +17,6 @@ use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;
Expand Down
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : SlacPgpCardG4PciePhyWrapper.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for AXI PCIe Core
Expand All @@ -16,7 +15,6 @@
library ieee;
use ieee.std_logic_1164.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;
Expand Down
2 changes: 0 additions & 2 deletions hardware/SlacPgpCardG4/rtl/AxiPciePkg.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : AxiPciePkg.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Package file for AXI PCIe Core
Expand All @@ -16,7 +15,6 @@
library ieee;
use ieee.std_logic_1164.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiLitePkg.all;
Expand Down
2 changes: 0 additions & 2 deletions hardware/SlacPgpCardG4/rtl/SlacPgpCardG4Core.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : SlacPgpCardG4Core.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: AXI PCIe Core for SLAC PGP Gen4 board (PCIe GEN3 x 8 lanes)
Expand All @@ -19,7 +18,6 @@ use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiLitePkg.all;
Expand Down
2 changes: 0 additions & 2 deletions hardware/XilinxAlveoU200/core/AxiPciePkg.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : AxiPciePkg.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Package file for AXI PCIe Core
Expand All @@ -16,7 +15,6 @@
library ieee;
use ieee.std_logic_1164.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiLitePkg.all;
Expand Down
2 changes: 0 additions & 2 deletions hardware/XilinxAlveoU200/core/TerminateQsfp.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : TerminateQsfp.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: TerminateQsfp File
Expand All @@ -18,7 +17,6 @@ use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiLitePkg.all;
Expand Down
1 change: 0 additions & 1 deletion hardware/XilinxAlveoU200/core/XilinxAlveoU200Core.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : XilinxAlveoU200Core.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: AXI PCIe Core for Xilinx Alveo U200 board (PCIe GEN3 x 16 lanes)
Expand Down
1 change: 0 additions & 1 deletion hardware/XilinxAlveoU200/ddr/rtl/Mig0.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : Mig0.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for the MIG core
Expand Down
1 change: 0 additions & 1 deletion hardware/XilinxAlveoU200/ddr/rtl/Mig1.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : Mig1.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for the MIG core
Expand Down
1 change: 0 additions & 1 deletion hardware/XilinxAlveoU200/ddr/rtl/Mig2.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : Mig2.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for the MIG core
Expand Down
1 change: 0 additions & 1 deletion hardware/XilinxAlveoU200/ddr/rtl/Mig3.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : Mig3.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for the MIG core
Expand Down
2 changes: 0 additions & 2 deletions hardware/XilinxAlveoU200/ddr/rtl/MigAll.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : MigAll.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for the MIG core
Expand All @@ -16,7 +15,6 @@
library ieee;
use ieee.std_logic_1164.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;
Expand Down
2 changes: 0 additions & 2 deletions hardware/XilinxAlveoU200/ddr/rtl/MigClkConvtWrapper.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : MigClkConvtWrapper.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description:
Expand All @@ -16,7 +15,6 @@
library ieee;
use ieee.std_logic_1164.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;
Expand Down
1 change: 0 additions & 1 deletion hardware/XilinxAlveoU200/ddr/rtl/MigPkg.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : MigPkg.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Package file for MIG Core
Expand Down
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : XilinxAlveoU200PciePhyWrapper.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for AXI PCIe Core
Expand All @@ -18,7 +17,6 @@ use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;
Expand Down
2 changes: 0 additions & 2 deletions hardware/XilinxAlveoU250/core/AxiPciePkg.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : AxiPciePkg.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Package file for AXI PCIe Core
Expand All @@ -16,7 +15,6 @@
library ieee;
use ieee.std_logic_1164.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiLitePkg.all;
Expand Down
2 changes: 0 additions & 2 deletions hardware/XilinxAlveoU250/core/TerminateQsfp.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : TerminateQsfp.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: TerminateQsfp File
Expand All @@ -18,7 +17,6 @@ use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiLitePkg.all;
Expand Down
2 changes: 0 additions & 2 deletions hardware/XilinxAlveoU250/core/XilinxAlveoU250Core.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : XilinxAlveoU250Core.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: AXI PCIe Core for Xilinx Alveo U250 board (PCIe GEN3 x 16 lanes)
Expand All @@ -19,7 +18,6 @@ use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiLitePkg.all;
Expand Down
1 change: 0 additions & 1 deletion hardware/XilinxAlveoU250/ddr/rtl/Mig0.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : Mig0.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for the MIG core
Expand Down
1 change: 0 additions & 1 deletion hardware/XilinxAlveoU250/ddr/rtl/Mig1.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : Mig1.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for the MIG core
Expand Down
1 change: 0 additions & 1 deletion hardware/XilinxAlveoU250/ddr/rtl/Mig2.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : Mig2.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for the MIG core
Expand Down
1 change: 0 additions & 1 deletion hardware/XilinxAlveoU250/ddr/rtl/Mig3.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : Mig3.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for the MIG core
Expand Down
2 changes: 0 additions & 2 deletions hardware/XilinxAlveoU250/ddr/rtl/MigAll.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : MigAll.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for the MIG core
Expand All @@ -16,7 +15,6 @@
library ieee;
use ieee.std_logic_1164.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;
Expand Down
1 change: 0 additions & 1 deletion hardware/XilinxAlveoU250/ddr/rtl/MigPkg.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : MigPkg.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Package file for MIG Core
Expand Down
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : XilinxAlveoU250PciePhyWrapper.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for AXI PCIe Core
Expand All @@ -18,7 +17,6 @@ use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;
Expand Down
1 change: 0 additions & 1 deletion hardware/XilinxAlveoU280/ddr/rtl/Mig0.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : Mig0.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for the MIG core
Expand Down
1 change: 0 additions & 1 deletion hardware/XilinxAlveoU280/ddr/rtl/Mig1.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : Mig1.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for the MIG core
Expand Down
2 changes: 0 additions & 2 deletions hardware/XilinxAlveoU280/ddr/rtl/MigAll.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,4 @@
-------------------------------------------------------------------------------
-- File : MigAll.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Wrapper for the MIG core
Expand All @@ -16,7 +15,6 @@
library ieee;
use ieee.std_logic_1164.all;


library surf;
use surf.StdRtlPkg.all;
use surf.AxiPkg.all;
Expand Down
Loading

0 comments on commit c1918e8

Please sign in to comment.