Skip to content

Commit

Permalink
Merge remote-tracking branch 'origin/pre-release' into pgp-fc-rec
Browse files Browse the repository at this point in the history
  • Loading branch information
bengineerd committed Oct 6, 2023
2 parents 3e9ef96 + d92f7c4 commit 476a2ee
Show file tree
Hide file tree
Showing 32 changed files with 67 additions and 1 deletion.
3 changes: 3 additions & 0 deletions axi/axi-stream/rtl/AxiStreamRingBuffer.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -29,6 +29,7 @@ entity AxiStreamRingBuffer is
RST_ASYNC_G : boolean := false;
SYNTH_MODE_G : string := "inferred";
MEMORY_TYPE_G : string := "block";
COMMON_CLK_G : boolean := false; -- true if dataClk=axilClk
DATA_BYTES_G : positive := 16;
RAM_ADDR_WIDTH_G : positive := 9;
-- AXI Stream Configurations
Expand Down Expand Up @@ -172,6 +173,7 @@ begin
U_Ram : entity surf.SimpleDualPortRamXpm
generic map (
TPD_G => TPD_G,
COMMON_CLK_G => COMMON_CLK_G,
MEMORY_TYPE_G => MEMORY_TYPE_G,
READ_LATENCY_G => 2,
DATA_WIDTH_G => 8*DATA_BYTES_G,
Expand All @@ -193,6 +195,7 @@ begin
U_Ram : entity surf.SimpleDualPortRamAlteraMf
generic map (
TPD_G => TPD_G,
COMMON_CLK_G => COMMON_CLK_G,
MEMORY_TYPE_G => MEMORY_TYPE_G,
READ_LATENCY_G => 2,
DATA_WIDTH_G => 8*DATA_BYTES_G,
Expand Down
2 changes: 2 additions & 0 deletions ethernet/GigEthCore/gth7/rtl/GigEthGth7.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -26,6 +26,7 @@ use surf.GigEthPkg.all;
entity GigEthGth7 is
generic (
TPD_G : time := 1 ns;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- AXI-Lite Configurations
EN_AXI_REG_G : boolean := false;
Expand Down Expand Up @@ -170,6 +171,7 @@ begin
U_MAC : entity surf.EthMacTop
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
PAUSE_512BITS_G => PAUSE_512BITS_C,
PHY_TYPE_G => "GMII",
Expand Down
2 changes: 2 additions & 0 deletions ethernet/GigEthCore/gth7/rtl/GigEthGth7Wrapper.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -31,6 +31,7 @@ entity GigEthGth7Wrapper is
generic (
TPD_G : time := 1 ns;
NUM_LANE_G : natural range 1 to 4 := 1;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- Clocking Configurations
USE_GTREFCLK_G : boolean := false; -- FALSE: gtClkP/N, TRUE: gtRefClk
Expand Down Expand Up @@ -166,6 +167,7 @@ begin
U_GigEthGth7 : entity surf.GigEthGth7
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
-- AXI-Lite Configurations
EN_AXI_REG_G => EN_AXI_REG_G,
Expand Down
2 changes: 2 additions & 0 deletions ethernet/GigEthCore/gthUltraScale/rtl/GigEthGthUltraScale.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -26,6 +26,7 @@ use surf.GigEthPkg.all;
entity GigEthGthUltraScale is
generic (
TPD_G : time := 1 ns;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- AXI-Lite Configurations
EN_AXI_REG_G : boolean := false;
Expand Down Expand Up @@ -182,6 +183,7 @@ begin
U_MAC : entity surf.EthMacTop
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
PAUSE_512BITS_G => PAUSE_512BITS_C,
PHY_TYPE_G => "GMII",
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -31,6 +31,7 @@ entity GigEthGthUltraScaleWrapper is
generic (
TPD_G : time := 1 ns;
NUM_LANE_G : natural range 1 to 4 := 1;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- Clocking Configurations
EXT_PLL_G : boolean := false;
Expand Down Expand Up @@ -193,6 +194,7 @@ begin
U_GigEthGthUltraScale : entity surf.GigEthGthUltraScale
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
-- AXI-Lite Configurations
EN_AXI_REG_G => EN_AXI_REG_G,
Expand Down
2 changes: 2 additions & 0 deletions ethernet/GigEthCore/gtp7/rtl/GigEthGtp7.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -26,6 +26,7 @@ use surf.GigEthPkg.all;
entity GigEthGtp7 is
generic (
TPD_G : time := 1 ns;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- AXI-Lite Configurations
EN_AXI_REG_G : boolean := false;
Expand Down Expand Up @@ -224,6 +225,7 @@ begin
U_MAC : entity surf.EthMacTop
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
PAUSE_512BITS_G => PAUSE_512BITS_C,
PHY_TYPE_G => "GMII",
Expand Down
2 changes: 2 additions & 0 deletions ethernet/GigEthCore/gtp7/rtl/GigEthGtp7Wrapper.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -31,6 +31,7 @@ entity GigEthGtp7Wrapper is
TPD_G : time := 1 ns;
SIMULATION_G : boolean := false;
NUM_LANE_G : natural range 1 to 4 := 1;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- Clocking Configurations
USE_GTREFCLK_G : boolean := false;
Expand Down Expand Up @@ -221,6 +222,7 @@ begin
U_GigEthGtp7 : entity surf.GigEthGtp7
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
-- AXI-Lite Configurations
EN_AXI_REG_G => EN_AXI_REG_G,
Expand Down
2 changes: 2 additions & 0 deletions ethernet/GigEthCore/gtx7/rtl/GigEthGtx7.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -27,6 +27,7 @@ use surf.GigEthPkg.all;
entity GigEthGtx7 is
generic (
TPD_G : time := 1 ns;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
SYNTH_MODE_G : string := "inferred";
-- AXI-Lite Configurations
Expand Down Expand Up @@ -235,6 +236,7 @@ begin
U_MAC : entity surf.EthMacTop
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
PAUSE_512BITS_G => PAUSE_512BITS_C,
PHY_TYPE_G => "GMII",
Expand Down
2 changes: 2 additions & 0 deletions ethernet/GigEthCore/gtx7/rtl/GigEthGtx7Wrapper.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -31,6 +31,7 @@ entity GigEthGtx7Wrapper is
generic (
TPD_G : time := 1 ns;
NUM_LANE_G : natural range 1 to 4 := 1;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- Clocking Configurations
USE_GTREFCLK_G : boolean := false; -- FALSE: gtClkP/N, TRUE: gtRefClk
Expand Down Expand Up @@ -166,6 +167,7 @@ begin
U_GigEthGtx7 : entity surf.GigEthGtx7
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
-- AXI-Lite Configurations
EN_AXI_REG_G => EN_AXI_REG_G,
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -25,6 +25,7 @@ use surf.GigEthPkg.all;
entity GigEthLvdsUltraScale is
generic (
TPD_G : time := 1 ns;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- AXI-Lite Configurations
EN_AXIL_REG_G : boolean := false;
Expand Down Expand Up @@ -156,6 +157,7 @@ begin
U_MAC : entity surf.EthMacTop
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
PAUSE_512BITS_G => PAUSE_512BITS_C,
PHY_TYPE_G => "GMII",
Expand Down
2 changes: 2 additions & 0 deletions ethernet/TenGigEthCore/gth7/rtl/TenGigEthGth7.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -26,6 +26,7 @@ use surf.EthMacPkg.all;
entity TenGigEthGth7 is
generic (
TPD_G : time := 1 ns;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- AXI-Lite Configurations
EN_AXI_REG_G : boolean := false;
Expand Down Expand Up @@ -208,6 +209,7 @@ begin
U_MAC : entity surf.EthMacTop
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
PHY_TYPE_G => "XGMII",
PRIM_CONFIG_G => AXIS_CONFIG_G)
Expand Down
2 changes: 2 additions & 0 deletions ethernet/TenGigEthCore/gth7/rtl/TenGigEthGth7Wrapper.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -28,6 +28,7 @@ entity TenGigEthGth7Wrapper is
generic (
TPD_G : time := 1 ns;
NUM_LANE_G : natural range 1 to 4 := 1;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- QUAD PLL Configurations
USE_GTREFCLK_G : boolean := false; -- FALSE: gtClkP/N, TRUE: gtRefClk
Expand Down Expand Up @@ -126,6 +127,7 @@ begin
TenGigEthGth7_Inst : entity surf.TenGigEthGth7
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
-- AXI-Lite Configurations
EN_AXI_REG_G => EN_AXI_REG_G,
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -25,6 +25,7 @@ use surf.EthMacPkg.all;
entity TenGigEthGthUltraScale is
generic (
TPD_G : time := 1 ns;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- AXI-Lite Configurations
EN_AXI_REG_G : boolean := false;
Expand Down Expand Up @@ -234,6 +235,7 @@ begin
U_MAC : entity surf.EthMacTop
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
FIFO_ADDR_WIDTH_G => 12, -- single 4K UltraRAM
SYNTH_MODE_G => "xpm",
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -28,6 +28,7 @@ entity TenGigEthGthUltraScaleWrapper is
generic (
TPD_G : time := 1 ns;
NUM_LANE_G : natural range 1 to 4 := 1;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- QUAD PLL Configurations
EXT_REF_G : boolean := false;
Expand Down Expand Up @@ -143,6 +144,7 @@ begin
TenGigEthGthUltraScale_Inst : entity surf.TenGigEthGthUltraScale
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
-- AXI-Lite Configurations
EN_AXI_REG_G => EN_AXI_REG_G,
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -26,6 +26,7 @@ use surf.EthMacPkg.all;
entity TenGigEthGthUltraScale is
generic (
TPD_G : time := 1 ns;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- AXI-Lite Configurations
EN_AXI_REG_G : boolean := false;
Expand Down Expand Up @@ -254,6 +255,7 @@ begin
U_MAC : entity surf.EthMacTop
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
PHY_TYPE_G => "XGMII",
PRIM_CONFIG_G => AXIS_CONFIG_G)
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -28,6 +28,7 @@ entity TenGigEthGthUltraScaleWrapper is
generic (
TPD_G : time := 1 ns;
NUM_LANE_G : natural range 1 to 4 := 1;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- QUAD PLL Configurations
EXT_REF_G : boolean := false;
Expand Down Expand Up @@ -146,6 +147,7 @@ begin
TenGigEthGthUltraScale_Inst : entity surf.TenGigEthGthUltraScale
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
-- AXI-Lite Configurations
EN_AXI_REG_G => EN_AXI_REG_G,
Expand Down
2 changes: 2 additions & 0 deletions ethernet/TenGigEthCore/gtx7/rtl/TenGigEthGtx7.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -26,6 +26,7 @@ use surf.EthMacPkg.all;
entity TenGigEthGtx7 is
generic (
TPD_G : time := 1 ns;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- AXI-Lite Configurations
EN_AXI_REG_G : boolean := false;
Expand Down Expand Up @@ -234,6 +235,7 @@ begin
U_MAC : entity surf.EthMacTop
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
PHY_TYPE_G => "XGMII",
PRIM_CONFIG_G => AXIS_CONFIG_G)
Expand Down
2 changes: 2 additions & 0 deletions ethernet/TenGigEthCore/gtx7/rtl/TenGigEthGtx7Wrapper.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -28,6 +28,7 @@ entity TenGigEthGtx7Wrapper is
generic (
TPD_G : time := 1 ns;
NUM_LANE_G : natural range 1 to 4 := 1;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- QUAD PLL Configurations
USE_GTREFCLK_G : boolean := false; -- FALSE: gtClkP/N, TRUE: gtRefClk
Expand Down Expand Up @@ -134,6 +135,7 @@ begin
TenGigEthGtx7_Inst : entity surf.TenGigEthGtx7
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
-- AXI-Lite Configurations
EN_AXI_REG_G => EN_AXI_REG_G,
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -25,6 +25,7 @@ use surf.EthMacPkg.all;
entity TenGigEthGtyUltraScale is
generic (
TPD_G : time := 1 ns;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- AXI-Lite Configurations
EN_AXI_REG_G : boolean := false;
Expand Down Expand Up @@ -234,6 +235,7 @@ begin
U_MAC : entity surf.EthMacTop
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
FIFO_ADDR_WIDTH_G => 12, -- single 4K UltraRAM
SYNTH_MODE_G => "xpm",
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -29,6 +29,7 @@ entity TenGigEthGtyUltraScaleWrapper is
generic (
TPD_G : time := 1 ns;
NUM_LANE_G : natural range 1 to 4 := 1;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- QUAD PLL Configurations
QPLL_REFCLK_SEL_G : slv(2 downto 0) := "001";
Expand Down Expand Up @@ -140,6 +141,7 @@ begin
TenGigEthGtyUltraScale_Inst : entity surf.TenGigEthGtyUltraScale
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
-- AXI-Lite Configurations
EN_AXI_REG_G => EN_AXI_REG_G,
Expand Down
2 changes: 2 additions & 0 deletions ethernet/XauiCore/gth7/rtl/XauiGth7.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -26,6 +26,7 @@ use surf.EthMacPkg.all;
entity XauiGth7 is
generic (
TPD_G : time := 1 ns;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- AXI-Lite Configurations
EN_AXI_REG_G : boolean := false;
Expand Down Expand Up @@ -92,6 +93,7 @@ begin
U_MAC : entity surf.EthMacTop
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
PHY_TYPE_G => "XGMII",
PRIM_CONFIG_G => AXIS_CONFIG_G)
Expand Down
2 changes: 2 additions & 0 deletions ethernet/XauiCore/gth7/rtl/XauiGth7Wrapper.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -29,6 +29,7 @@ use unisim.vcomponents.all;
entity XauiGth7Wrapper is
generic (
TPD_G : time := 1 ns;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- QUAD PLL Configurations
USE_GTREFCLK_G : boolean := false; -- FALSE: gtClkP/N, TRUE: gtRefClk
Expand Down Expand Up @@ -95,6 +96,7 @@ begin
XauiGth7_Inst : entity surf.XauiGth7
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
-- AXI-Lite Configurations
EN_AXI_REG_G => EN_AXI_REG_G,
Expand Down
2 changes: 2 additions & 0 deletions ethernet/XauiCore/gthUltraScale+/rtl/XauiGthUltraScale.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -28,6 +28,7 @@ use unisim.vcomponents.all;
entity XauiGthUltraScale is
generic (
TPD_G : time := 1 ns;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
-- XAUI Configurations
REF_CLK_FREQ_G : real := 156.25E+6; -- Support 156.25MHz or 312.5MHz
Expand Down Expand Up @@ -202,6 +203,7 @@ begin
U_MAC : entity surf.EthMacTop
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
FIFO_ADDR_WIDTH_G => 12, -- single 4K UltraRAM
SYNTH_MODE_G => "xpm",
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -29,6 +29,7 @@ use unisim.vcomponents.all;
entity XauiGthUltraScaleWrapper is
generic (
TPD_G : time := 1 ns;
JUMBO_G : boolean := true;
PAUSE_EN_G : boolean := true;
EN_WDT_G : boolean := false;
EXT_REF_G : boolean := false;
Expand Down Expand Up @@ -139,6 +140,7 @@ begin
XauiGthUltraScale_Inst : entity surf.XauiGthUltraScale
generic map (
TPD_G => TPD_G,
JUMBO_G => JUMBO_G,
PAUSE_EN_G => PAUSE_EN_G,
-- AXI-Lite Configurations
EN_AXI_REG_G => EN_AXI_REG_G,
Expand Down
Loading

0 comments on commit 476a2ee

Please sign in to comment.