Skip to content

Commit

Permalink
more code clean up
Browse files Browse the repository at this point in the history
  • Loading branch information
ruck314 committed Jun 13, 2023
1 parent d4ae1f1 commit 484b2b7
Show file tree
Hide file tree
Showing 2 changed files with 5 additions and 6 deletions.
4 changes: 2 additions & 2 deletions protocols/pgp/shared/PgpXvcWrapper.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -46,9 +46,9 @@ end PgpXvcWrapper;

architecture rtl of PgpXvcWrapper is

signal ibXvcMaster : AxiStreamMasterType := AXI_STREAM_MASTER_INIT_C;
signal ibXvcMaster : AxiStreamMasterType := axiStreamMasterInit(EMAC_AXIS_CONFIG_C);
signal ibXvcSlave : AxiStreamSlaveType := AXI_STREAM_SLAVE_FORCE_C;
signal obXvcMaster : AxiStreamMasterType := AXI_STREAM_MASTER_INIT_C;
signal obXvcMaster : AxiStreamMasterType := axiStreamMasterInit(EMAC_AXIS_CONFIG_C);
signal obXvcSlave : AxiStreamSlaveType := AXI_STREAM_SLAVE_FORCE_C;

begin
Expand Down
7 changes: 3 additions & 4 deletions protocols/xvc-udp/rtl/DmaXvcWrapper.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -39,14 +39,14 @@ entity DmaXvcWrapper is
-- Clock and Reset (xvcClk domain)
xvcClk : in sl;
xvcRst : in sl;
-- Clock and Reset (pgpClk domain)
-- Clock and Reset (axisClk domain)
axisClk : in sl;
axisRst : in sl;
-- OB FIFO
-- OB FIFO (axisClk domain)
obFifoMaster : in AxiStreamMasterType;
obFifoSlave : out AxiStreamSlaveType;
obFifoCtrl : out AxiStreamCtrlType;
-- IB FIFO
-- IB FIFO (axisClk domain)
ibFifoSlave : in AxiStreamSlaveType;
ibFifoMaster : out AxiStreamMasterType);
end DmaXvcWrapper;
Expand Down Expand Up @@ -133,5 +133,4 @@ begin
mAxisMaster => ibFifoMaster,
mAxisSlave => ibFifoSlave);


end rtl;

0 comments on commit 484b2b7

Please sign in to comment.