Skip to content

Commit

Permalink
Update DmaXvcWrapper.vhd's generic from AXIS_CLK_FREQ_G to XVC_CLK_FR…
Browse files Browse the repository at this point in the history
…EQ_G

### Description
- AXIS_CLK_FREQ_G is misleading name because generic is associated with the xvcClk (not axisClk) at surf.UdpDebugBridgeWrapper
- Renaming this generic to XVC_CLK_FREQ_G
  • Loading branch information
ruck314 committed Jul 11, 2024
1 parent 15a7282 commit 74c8236
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions protocols/xvc-udp/rtl/DmaXvcWrapper.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -27,7 +27,7 @@ entity DmaXvcWrapper is
generic (
TPD_G : time := 1 ns;
COMMON_CLOCK_G : boolean := false;
AXIS_CLK_FREQ_G : real := 156.25e6;
XVC_CLK_FREQ_G : real := 156.25e6;
FIFO_INT_PIPE_STAGES_G : natural range 0 to 16 := 0; -- Internal FIFO setting
FIFO_PIPE_STAGES_G : natural range 0 to 16 := 1;
OB_FIFO_SLAVE_READY_EN_G : boolean := true;
Expand Down Expand Up @@ -67,7 +67,7 @@ begin
U_XVC : entity surf.UdpDebugBridgeWrapper
generic map (
TPD_G => TPD_G,
AXIS_CLK_FREQ_G => AXIS_CLK_FREQ_G)
AXIS_CLK_FREQ_G => XVC_CLK_FREQ_G)
port map (
-- Clock and Reset
clk => xvcClk,
Expand Down

0 comments on commit 74c8236

Please sign in to comment.