Skip to content

Commit

Permalink
propagate simulation generic
Browse files Browse the repository at this point in the history
  • Loading branch information
cbakalis-slac committed Jul 19, 2024
1 parent 7ac4c7f commit a356df0
Show file tree
Hide file tree
Showing 2 changed files with 3 additions and 0 deletions.
Original file line number Diff line number Diff line change
Expand Up @@ -29,6 +29,7 @@ use unisim.vcomponents.all;
entity Pgp2fcGtyCoreWrapper is
generic (
TPD_G : time := 1 ns;
SIMULATION_G : boolean := false;
SEL_FABRIC_REFCLK_G : boolean := false;
USE_ALIGN_CHECK_G : boolean := true;
AXI_CLK_FREQ_G : real := 125.0e6;
Expand Down Expand Up @@ -384,6 +385,7 @@ begin
U_AlignCheck : entity surf.GtRxAlignCheck
generic map (
TPD_G => TPD_G,
SIMULATION_G => SIMULATION_G,
GT_TYPE_G => "GTYE4",
AXI_CLK_FREQ_G => AXI_CLK_FREQ_G,
DRP_ADDR_G => AXI_CROSSBAR_MASTERS_CONFIG_C(1).baseAddr)
Expand Down
1 change: 1 addition & 0 deletions protocols/pgp/pgp2fc/gtyUltraScale+/rtl/Pgp2fcGtyUltra.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -193,6 +193,7 @@ begin
PgpGtyCoreWrapper_1 : entity surf.Pgp2fcGtyCoreWrapper
generic map (
TPD_G => TPD_G,
SIMULATION_G => SIMULATION_G,
SEL_FABRIC_REFCLK_G => SEL_FABRIC_REFCLK_G,
AXI_CLK_FREQ_G => AXI_CLK_FREQ_G,
AXI_BASE_ADDR_G => AXI_BASE_ADDR_G)
Expand Down

0 comments on commit a356df0

Please sign in to comment.