Skip to content

Commit

Permalink
switch pgp2fc cores drp freq config to gtRefClk-div-2 (185.714/2 MHz)
Browse files Browse the repository at this point in the history
  • Loading branch information
cbakalis-slac committed Sep 25, 2024
1 parent 417d460 commit a4a8840
Show file tree
Hide file tree
Showing 4 changed files with 60 additions and 60 deletions.
4 changes: 2 additions & 2 deletions protocols/pgp/pgp2fc/gthUltraScale+/ip/Pgp2fcGthCore.dcp
Git LFS file not shown
102 changes: 51 additions & 51 deletions protocols/pgp/pgp2fc/gthUltraScale+/ip/Pgp2fcGthCore.xci
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
"ip_inst": {
"xci_name": "Pgp2fcGthCore",
"component_reference": "xilinx.com:ip:gtwizard_ultrascale:1.7",
"ip_revision": "16",
"ip_revision": "18",
"gen_directory": "../../../../zCCM_kria_project.gen/sources_1/ip/Pgp2fcGthCore",
"parameters": {
"component_parameters": {
Expand All @@ -23,32 +23,32 @@
"INTERNAL_NUM_COMMONS_EXAMPLE": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ],
"INTERNAL_TX_USRCLK_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "enabled": false, "usage": "all" } ],
"INTERNAL_RX_USRCLK_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "enabled": false, "usage": "all" } ],
"RX_PPM_OFFSET": [ { "value": "200", "resolve_type": "user", "format": "long", "usage": "all" } ],
"RX_PPM_OFFSET": [ { "value": "200", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ],
"OOB_ENABLE": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
"RX_SSC_PPM": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
"INS_LOSS_NYQ": [ { "value": "14", "resolve_type": "user", "format": "float", "usage": "all" } ],
"INS_LOSS_NYQ": [ { "value": "14", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ],
"PCIE_CORECLK_FREQ": [ { "value": "250", "resolve_type": "user", "format": "long", "usage": "all" } ],
"PCIE_USERCLK_FREQ": [ { "value": "250", "resolve_type": "user", "format": "float", "usage": "all" } ],
"TX_LINE_RATE": [ { "value": "3.7142857", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ],
"TX_PLL_TYPE": [ { "value": "CPLL", "resolve_type": "user", "usage": "all" } ],
"TX_PLL_TYPE": [ { "value": "CPLL", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
"TX_REFCLK_FREQUENCY": [ { "value": "185.714285", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ],
"TX_DATA_ENCODING": [ { "value": "8B10B", "resolve_type": "user", "usage": "all" } ],
"TX_USER_DATA_WIDTH": [ { "value": "16", "resolve_type": "user", "format": "long", "usage": "all" } ],
"TX_INT_DATA_WIDTH": [ { "value": "20", "resolve_type": "user", "format": "long", "usage": "all" } ],
"TX_DATA_ENCODING": [ { "value": "8B10B", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
"TX_USER_DATA_WIDTH": [ { "value": "16", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ],
"TX_INT_DATA_WIDTH": [ { "value": "20", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ],
"TX_BUFFER_MODE": [ { "value": "0", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ],
"TX_QPLL_FRACN_NUMERATOR": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
"TX_OUTCLK_SOURCE": [ { "value": "TXPLLREFCLK_DIV1", "resolve_type": "user", "usage": "all" } ],
"TX_OUTCLK_SOURCE": [ { "value": "TXPLLREFCLK_DIV1", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
"TX_DIFF_SWING_EMPH_MODE": [ { "value": "CUSTOM", "resolve_type": "user", "usage": "all" } ],
"RX_LINE_RATE": [ { "value": "3.7142857", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ],
"RX_PLL_TYPE": [ { "value": "CPLL", "resolve_type": "user", "usage": "all" } ],
"RX_PLL_TYPE": [ { "value": "CPLL", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
"RX_REFCLK_FREQUENCY": [ { "value": "185.714285", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ],
"RX_DATA_DECODING": [ { "value": "8B10B", "resolve_type": "user", "usage": "all" } ],
"RX_USER_DATA_WIDTH": [ { "value": "16", "resolve_type": "user", "format": "long", "usage": "all" } ],
"RX_INT_DATA_WIDTH": [ { "value": "20", "resolve_type": "user", "format": "long", "usage": "all" } ],
"RX_DATA_DECODING": [ { "value": "8B10B", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
"RX_USER_DATA_WIDTH": [ { "value": "16", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ],
"RX_INT_DATA_WIDTH": [ { "value": "20", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ],
"RX_BUFFER_MODE": [ { "value": "0", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ],
"RX_QPLL_FRACN_NUMERATOR": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
"RX_EQ_MODE": [ { "value": "AUTO", "resolve_type": "user", "usage": "all" } ],
"RX_JTOL_FC": [ { "value": "2.2281258", "resolve_type": "user", "format": "float", "usage": "all" } ],
"RX_JTOL_FC": [ { "value": "2.2281258", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ],
"RX_JTOL_LF_SLOPE": [ { "value": "-20", "resolve_type": "user", "format": "long", "usage": "all" } ],
"RX_OUTCLK_SOURCE": [ { "value": "RXOUTCLKPMA", "resolve_type": "user", "usage": "all" } ],
"SIM_CPLL_CAL_BYPASS": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
Expand All @@ -62,15 +62,15 @@
"RX_BUFFER_RESET_ON_RATE_CHANGE": [ { "value": "ENABLE", "resolve_type": "user", "enabled": false, "usage": "all" } ],
"TX_BUFFER_RESET_ON_RATE_CHANGE": [ { "value": "ENABLE", "resolve_type": "user", "enabled": false, "usage": "all" } ],
"RESET_SEQUENCE_INTERVAL": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
"RX_COMMA_PRESET": [ { "value": "K28.5", "resolve_type": "user", "usage": "all" } ],
"RX_COMMA_PRESET": [ { "value": "K28.5", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
"RX_COMMA_VALID_ONLY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
"RX_COMMA_P_ENABLE": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
"RX_COMMA_M_ENABLE": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
"RX_COMMA_P_ENABLE": [ { "value": "true", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ],
"RX_COMMA_M_ENABLE": [ { "value": "true", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ],
"RX_COMMA_DOUBLE_ENABLE": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
"RX_COMMA_P_VAL": [ { "value": "0101111100", "resolve_type": "user", "usage": "all" } ],
"RX_COMMA_M_VAL": [ { "value": "1010000011", "resolve_type": "user", "usage": "all" } ],
"RX_COMMA_MASK": [ { "value": "1111111111", "resolve_type": "user", "usage": "all" } ],
"RX_COMMA_ALIGN_WORD": [ { "value": "2", "resolve_type": "user", "format": "long", "usage": "all" } ],
"RX_COMMA_MASK": [ { "value": "1111111111", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
"RX_COMMA_ALIGN_WORD": [ { "value": "2", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ],
"RX_COMMA_SHOW_REALIGN_ENABLE": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
"RX_SLIDE_MODE": [ { "value": "OFF", "resolve_type": "user", "usage": "all" } ],
"RX_CB_NUM_SEQ": [ { "value": "0", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
Expand Down Expand Up @@ -168,7 +168,7 @@
"LOCATE_USER_DATA_WIDTH_SIZING": [ { "value": "CORE", "resolve_type": "user", "usage": "all" } ],
"ORGANIZE_PORTS_BY": [ { "value": "NAME", "resolve_type": "user", "enabled": false, "usage": "all" } ],
"PRESET": [ { "value": "GTH-Aurora_8B10B", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
"INTERNAL_PRESET": [ { "value": "Aurora_8B10B", "resolve_type": "user", "usage": "all" } ],
"INTERNAL_PRESET": [ { "value": "Aurora_8B10B", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
"INTERNAL_PORT_USAGE_UPDATED": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ],
"INTERNAL_PORT_ENABLEMENT_UPDATED": [ { "value": "25", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ],
"INTERNAL_CHANNEL_SITES_UPDATED": [ { "value": "7", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ],
Expand All @@ -180,10 +180,10 @@
"SECONDARY_QPLL_FRACN_NUMERATOR": [ { "value": "0", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ],
"SECONDARY_QPLL_REFCLK_FREQUENCY": [ { "value": "257.8125", "resolve_type": "user", "format": "float", "enabled": false, "usage": "all" } ],
"TXPROGDIV_FREQ_ENABLE": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ],
"TXPROGDIV_FREQ_SOURCE": [ { "value": "CPLL", "resolve_type": "user", "enabled": false, "usage": "all" } ],
"TXPROGDIV_FREQ_VAL": [ { "value": "185.714285", "resolve_type": "user", "format": "float", "enabled": false, "usage": "all" } ],
"TXPROGDIV_FREQ_SOURCE": [ { "value": "CPLL", "value_src": "user", "resolve_type": "user", "enabled": false, "usage": "all" } ],
"TXPROGDIV_FREQ_VAL": [ { "value": "185.714285", "value_src": "user", "resolve_type": "user", "format": "float", "enabled": false, "usage": "all" } ],
"SATA_TX_BURST_LEN": [ { "value": "15", "resolve_type": "user", "format": "long", "usage": "all" } ],
"FREERUN_FREQUENCY": [ { "value": "125", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ],
"FREERUN_FREQUENCY": [ { "value": "92.857", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ],
"INCLUDE_CPLL_CAL": [ { "value": "2", "resolve_type": "user", "format": "long", "usage": "all" } ],
"USER_GTPOWERGOOD_DELAY_EN": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
"DISABLE_LOC_XDC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ],
Expand Down Expand Up @@ -734,7 +734,7 @@
"C_COMMON_SCALING_FACTOR": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
"C_CPLL_VCO_FREQUENCY": [ { "value": "3714.2857", "resolve_type": "generated", "format": "float", "usage": "all" } ],
"C_FORCE_COMMONS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
"C_FREERUN_FREQUENCY": [ { "value": "125", "resolve_type": "generated", "format": "float", "usage": "all" } ],
"C_FREERUN_FREQUENCY": [ { "value": "92.857", "resolve_type": "generated", "format": "float", "usage": "all" } ],
"C_GT_TYPE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
"C_GT_REV": [ { "value": "57", "resolve_type": "generated", "format": "long", "usage": "all" } ],
"C_INCLUDE_CPLL_CAL": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ],
Expand Down Expand Up @@ -768,9 +768,9 @@
"C_RX_CC_PERIODICITY": [ { "value": "5000", "resolve_type": "generated", "format": "long", "usage": "all" } ],
"C_RX_CC_VAL": [ { "value": "\"00000000000000000000000000000000000000000000000000000000000000000000000000000000\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
"C_RX_COMMA_M_ENABLE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
"C_RX_COMMA_M_VAL": [ { "value": "\"1001111100\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
"C_RX_COMMA_M_VAL": [ { "value": "\"1010000011\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
"C_RX_COMMA_P_ENABLE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
"C_RX_COMMA_P_VAL": [ { "value": "\"0110000011\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
"C_RX_COMMA_P_VAL": [ { "value": "\"0101111100\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ],
"C_RX_DATA_DECODING": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
"C_RX_ENABLE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
"C_RX_INT_DATA_WIDTH": [ { "value": "20", "resolve_type": "generated", "format": "long", "usage": "all" } ],
Expand Down Expand Up @@ -823,12 +823,12 @@
},
"runtime_parameters": {
"IPCONTEXT": [ { "value": "IP_Flow" } ],
"IPREVISION": [ { "value": "16" } ],
"IPREVISION": [ { "value": "18" } ],
"MANAGED": [ { "value": "TRUE" } ],
"OUTPUTDIR": [ { "value": "../../../../zCCM_kria_project.gen/sources_1/ip/Pgp2fcGthCore" } ],
"SELECTEDSIMMODEL": [ { "value": "" } ],
"SHAREDDIR": [ { "value": "." } ],
"SWVERSION": [ { "value": "2023.1" } ],
"SWVERSION": [ { "value": "2024.1" } ],
"SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
}
},
Expand Down Expand Up @@ -856,33 +856,33 @@
"gtwiz_reset_rx_done_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"gtwiz_userdata_tx_in": [ { "direction": "in", "size_left": "15", "size_right": "0", "driver_value": "0" } ],
"gtwiz_userdata_rx_out": [ { "direction": "out", "size_left": "15", "size_right": "0", "driver_value": "0" } ],
"drpaddr_in": [ { "direction": "in", "size_left": "9", "size_right": "0", "driver_value": "0x00000" } ],
"drpclk_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
"drpdi_in": [ { "direction": "in", "size_left": "15", "size_right": "0", "driver_value": "0x00000000" } ],
"drpen_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
"drpwe_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
"gtgrefclk_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
"drpaddr_in": [ { "direction": "in", "size_left": "9", "size_right": "0", "driver_value": "0" } ],
"drpclk_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"drpdi_in": [ { "direction": "in", "size_left": "15", "size_right": "0", "driver_value": "0" } ],
"drpen_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"drpwe_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"gtgrefclk_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"gthrxn_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"gthrxp_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"gtrefclk0_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
"loopback_in": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0x00" } ],
"rx8b10ben_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
"rxcdrreset_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
"rxcommadeten_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
"rxmcommaalignen_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
"rxpcommaalignen_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
"rxpcsreset_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
"rxpmareset_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
"rxpolarity_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
"gtrefclk0_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"loopback_in": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ],
"rx8b10ben_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"rxcdrreset_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"rxcommadeten_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"rxmcommaalignen_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"rxpcommaalignen_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"rxpcsreset_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"rxpmareset_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"rxpolarity_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"rxusrclk_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"rxusrclk2_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"tx8b10ben_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
"txctrl0_in": [ { "direction": "in", "size_left": "15", "size_right": "0", "driver_value": "0x0000" } ],
"txctrl1_in": [ { "direction": "in", "size_left": "15", "size_right": "0", "driver_value": "0x0000" } ],
"txctrl2_in": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0x00" } ],
"txpcsreset_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
"txpmareset_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
"txpolarity_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0x0" } ],
"tx8b10ben_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"txctrl0_in": [ { "direction": "in", "size_left": "15", "size_right": "0", "driver_value": "0" } ],
"txctrl1_in": [ { "direction": "in", "size_left": "15", "size_right": "0", "driver_value": "0" } ],
"txctrl2_in": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ],
"txpcsreset_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"txpmareset_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"txpolarity_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"txusrclk_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"txusrclk2_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
"cpllfbclklost_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ],
Expand Down Expand Up @@ -913,4 +913,4 @@
}
}
}
}
}
4 changes: 2 additions & 2 deletions protocols/pgp/pgp2fc/gtyUltraScale+/ip/Pgp2fcGtyCore.dcp
Git LFS file not shown
Loading

0 comments on commit a4a8840

Please sign in to comment.