Skip to content

Commit

Permalink
bug fix when using ultrascale+ FPGAs
Browse files Browse the repository at this point in the history
  • Loading branch information
ruck314 committed Jul 11, 2024
1 parent 766081b commit a6012a4
Showing 1 changed file with 8 additions and 4 deletions.
12 changes: 8 additions & 4 deletions protocols/xvc-udp/rtl/UdpDebugBridgeWrapper.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -42,8 +42,8 @@ end UdpDebugBridgeWrapper;
architecture rtl of UdpDebugBridgeWrapper is

component UdpDebugBridge is
generic (
AXIS_CLK_FREQ_G : real);
-- generic (
-- AXIS_CLK_FREQ_G : real);
port (
axisClk : in std_logic;
axisRst : in std_logic;
Expand Down Expand Up @@ -81,6 +81,10 @@ architecture rtl of UdpDebugBridgeWrapper is

begin

assert (AXIS_CLK_FREQ_G = 156.25E+6)
report "AXIS_CLK_FREQ_G: Must be 156.25E+6"
severity error;

----------------------------
-- 'XVC' Server @2542 (modified protocol to work over UDP)
----------------------------
Expand Down Expand Up @@ -115,8 +119,8 @@ begin
end process P_SOF_SPLICE;

U_XvcServer : component UdpDebugBridge
generic map (
AXIS_CLK_FREQ_G => AXIS_CLK_FREQ_G)
-- generic map (
-- AXIS_CLK_FREQ_G => AXIS_CLK_FREQ_G)
port map (
axisClk => clk,
axisRst => rst,
Expand Down

0 comments on commit a6012a4

Please sign in to comment.