Skip to content

Commit

Permalink
Merge remote-tracking branch 'origin/ldmx-dev' into ldmx-dev3
Browse files Browse the repository at this point in the history
  • Loading branch information
bengineerd committed Sep 27, 2024
2 parents 5002cd3 + 84dcb34 commit fa4b25e
Show file tree
Hide file tree
Showing 26 changed files with 103 additions and 130 deletions.
26 changes: 0 additions & 26 deletions protocols/pgp/pgp2fc/README.md

This file was deleted.

2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcAlignmentChecker.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 2 additions & 0 deletions protocols/pgp/pgp2fc/core/rtl/Pgp2fcAlignmentController.vhd
Original file line number Diff line number Diff line change
@@ -1,4 +1,6 @@
-------------------------------------------------------------------------------
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: GTH RX Byte Alignment Controller
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcAxi.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
4 changes: 3 additions & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcLane.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down Expand Up @@ -68,6 +68,7 @@ entity Pgp2fcLane is
pgpRxClkEn : in sl := '1';
pgpRxClk : in sl := '0';
pgpRxClkRst : in sl := '0';
pgpRxPhyRst : in sl := '0';

-- Non-VC related IO
pgpRxIn : in Pgp2fcRxInType := PGP2FC_RX_IN_INIT_C;
Expand Down Expand Up @@ -152,6 +153,7 @@ begin
pgpRxClkEn => pgpRxClkEn,
pgpRxClk => pgpRxClk,
pgpRxClkRst => pgpRxClkRst,
pgpRxPhyRst => pgpRxPhyRst,
pgpRxIn => pgpRxIn,
pgpRxOut => intRxOut,
pgpRxMaster => intRxMaster,
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcPkg.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
7 changes: 5 additions & 2 deletions protocols/pgp/pgp2fc/core/rtl/Pgp2fcRx.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down Expand Up @@ -38,6 +38,7 @@ entity Pgp2fcRx is
pgpRxClkEn : in sl := '1'; -- Master clock enable
pgpRxClk : in sl; -- Master clock
pgpRxClkRst : in sl; -- Synchronous reset input
pgpRxPhyRst : in sl := '0';

-- Non-VC related IO
pgpRxIn : in Pgp2fcRxInType;
Expand Down Expand Up @@ -87,6 +88,7 @@ architecture Pgp2fcRx of Pgp2fcRx is
signal overflow : slv(3 downto 0);
signal intFcValid : sl;
signal intFcError : sl;
signal phyRxRst : sl;

attribute KEEP_HIERARCHY : string;
attribute KEEP_HIERARCHY of
Expand All @@ -101,6 +103,7 @@ begin
pgpRxOut.phyRxReady <= phyRxReady;
pgpRxOut.remOverflow <= overflow;
pgpRxOut.remPause <= pause;
phyRxRst <= pgpRxPhyRst;

-- Interface connection
intPhyRxData <= phyRxLaneIn.data;
Expand All @@ -117,7 +120,7 @@ begin
) port map (
pgpRxClkEn => pgpRxClkEn,
pgpRxClk => pgpRxClk,
pgpRxClkRst => pgpRxClkRst,
pgpRxClkRst => phyRxRst,
pgpRxLinkReady => intRxLinkReady,
pgpRxLinkDown => pgpRxOut.linkDown,
pgpRxLinkError => pgpRxOut.linkError,
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcRxCell.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcRxPhy.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcTx.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcTxCell.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcTxPhy.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcTxSched.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/tb/Pgp2fcLane_tb.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2b: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/tb/RoguePgp2fcSim.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
4 changes: 2 additions & 2 deletions protocols/pgp/pgp2fc/gthUltraScale+/ip/Pgp2fcGthCore.dcp
Git LFS file not shown
Loading

0 comments on commit fa4b25e

Please sign in to comment.