Skip to content

VHDL component versus entity #613

Answered by biosbob
biosbob asked this question in Q&A
May 17, 2023 · 3 comments · 1 reply
Discussion options

You must be logged in to vote

the general consensus in the world is to prefer entity over component, due to this redundancy; component should only be used in certain advanced cases (such as when mixing VHDL+Verilog or importing some "black-box" IP)....

i've discovered (at least with the GHDL compiler) that the ORDER of the source files matters.... by (redundantly!!!) declaring component interfaces in neorv32_package.vhd, we can simply list the core source files alphabetically.... this "works" because neorv32_package.vhd has a component declaration that matches some entity declaration (where the latter is being instantiated); and neorv32_package.vhd is seen before any of the neorv32_<entity>.vhd sources....

were we to …

Replies: 3 comments 1 reply

Comment options

You must be logged in to vote
0 replies
Comment options

biosbob
May 31, 2023
Collaborator Author

You must be logged in to vote
0 replies
Answer selected by biosbob
Comment options

You must be logged in to vote
1 reply
@stnolting
Comment options

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Category
Q&A
Labels
None yet
3 participants