Skip to content
This repository has been archived by the owner on Sep 29, 2024. It is now read-only.

Commit

Permalink
[ADD] some emio & [Fix] Clk bug
Browse files Browse the repository at this point in the history
  • Loading branch information
yht1995 committed Mar 25, 2016
1 parent 031e32d commit 44b4ed1
Show file tree
Hide file tree
Showing 8 changed files with 42 additions and 15 deletions.
2 changes: 1 addition & 1 deletion tk2_linux.sdk/device_tree_bsp_0/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

dtsi:
dtc -O dtb -I dts -o temp.dtb system.dts
dtc -O dts -I dtb -o system-all.dts temp.dtb
dtc -O dts -I dtb -o temp.dts temp.dtb
rm -f temp.dtb

dtb:
Expand Down
4 changes: 2 additions & 2 deletions tk2_linux.sdk/device_tree_bsp_0/devicetree.dts
Original file line number Diff line number Diff line change
Expand Up @@ -114,7 +114,7 @@
interrupt-parent = <0x3>;
interrupts = <0x0 0x14 0x4>;
reg = <0xe000a000 0x1000>;
emio-gpio-width = <0x4>;
emio-gpio-width = <0xc>;
gpio-mask-high = <0x0>;
gpio-mask-low = <0x5600>;
linux,phandle = <0x5>;
Expand Down Expand Up @@ -508,7 +508,7 @@
interrupts = <0x0 0x1e 0x1>;
port-number = <0x2>;
reg = <0x42c10000 0x10000>;
xlnx,baudrate = <0x1c200>;
xlnx,baudrate = <0xe100>;
xlnx,data-bits = <0x8>;
xlnx,odd-parity = <0x0>;
xlnx,s-axi-aclk-freq-hz-d = "50.0";
Expand Down
4 changes: 2 additions & 2 deletions tk2_linux.sdk/device_tree_bsp_0/pl.dtsi
Original file line number Diff line number Diff line change
@@ -1,7 +1,7 @@
/*
* CAUTION: This file is automatically generated by Xilinx.
* Version:
* Today is: Thu Mar 10 14:25:57 2016
* Today is: Sat Mar 26 00:04:02 2016
*/


Expand Down Expand Up @@ -37,7 +37,7 @@
interrupts = <0 30 1>;
port-number = <2>;
reg = <0x42c10000 0x10000>;
xlnx,baudrate = <0x1c200>;
xlnx,baudrate = <0xe100>;
xlnx,data-bits = <0x8>;
xlnx,odd-parity = <0x0>;
xlnx,s-axi-aclk-freq-hz-d = "50.0";
Expand Down
4 changes: 2 additions & 2 deletions tk2_linux.sdk/device_tree_bsp_0/system.dts
Original file line number Diff line number Diff line change
@@ -1,7 +1,7 @@
/*
* CAUTION: This file is automatically generated by Xilinx.
* Version:
* Today is: Thu Mar 10 14:25:57 2016
* Today is: Sat Mar 26 00:04:02 2016
*/


Expand Down Expand Up @@ -41,7 +41,7 @@
xlnx,ptp-enet-clock = <0x69f6bcb>;
};
&gpio0 {
emio-gpio-width = <4>;
emio-gpio-width = <12>;
gpio-mask-high = <0x0>;
gpio-mask-low = <0x5600>;
};
Expand Down
4 changes: 2 additions & 2 deletions tk2_linux.sdk/device_tree_bsp_0/system.mss
Original file line number Diff line number Diff line change
Expand Up @@ -41,7 +41,7 @@ BEGIN DRIVER
PARAMETER interrupts = 0 30 1
PARAMETER port-number = 2
PARAMETER reg = 0x42c10000 0x10000
PARAMETER xlnx,baudrate = 115200
PARAMETER xlnx,baudrate = 57600
PARAMETER xlnx,data-bits = 8
PARAMETER xlnx,odd-parity = 0
PARAMETER xlnx,s-axi-aclk-freq-hz-d = 50.0
Expand Down Expand Up @@ -135,7 +135,7 @@ END
BEGIN DRIVER
PARAMETER DRIVER_NAME = gpiops
PARAMETER HW_INSTANCE = ps7_gpio_0
PARAMETER emio-gpio-width = 4
PARAMETER emio-gpio-width = 12
PARAMETER gpio-mask-high = 0
PARAMETER gpio-mask-low = 22016
END
Expand Down
13 changes: 9 additions & 4 deletions tk2_linux.srcs/constrs_1/system.xdc
Original file line number Diff line number Diff line change
Expand Up @@ -39,11 +39,16 @@ set_property PACKAGE_PIN Y16 [get_ports {gpio_0_tri_io[0]}]
set_property PACKAGE_PIN Y17 [get_ports {gpio_0_tri_io[1]}]
set_property PACKAGE_PIN R14 [get_ports {gpio_0_tri_io[2]}]
set_property PACKAGE_PIN P18 [get_ports {gpio_0_tri_io[3]}]
set_property PACKAGE_PIN M15 [get_ports {gpio_0_tri_io[4]}]
set_property PACKAGE_PIN L15 [get_ports {gpio_0_tri_io[5]}]
set_property PACKAGE_PIN L14 [get_ports {gpio_0_tri_io[6]}]
set_property PACKAGE_PIN T9 [get_ports {gpio_0_tri_io[7]}]
set_property PACKAGE_PIN U10 [get_ports {gpio_0_tri_io[8]}]
set_property PACKAGE_PIN V12 [get_ports {gpio_0_tri_io[9]}]
set_property PACKAGE_PIN H20 [get_ports {gpio_0_tri_io[10]}]
set_property PACKAGE_PIN H15 [get_ports {gpio_0_tri_io[11]}]

set_property IOSTANDARD LVCMOS33 [get_ports {gpio_0_tri_io[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {gpio_0_tri_io[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {gpio_0_tri_io[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {gpio_0_tri_io[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {gpio_0_tri_io}]

set_property IOSTANDARD LVCMOS33 [get_ports pwm]
set_property IOSTANDARD LVCMOS33 [get_ports pwm_1]
Expand Down
4 changes: 2 additions & 2 deletions tk2_linux.srcs/sources_1/bd/design_1/design_1.bd
Original file line number Diff line number Diff line change
Expand Up @@ -323,7 +323,7 @@
<spirit:configurableElementValue spirit:referenceId="PCW_GPIO_MIO_GPIO_ENABLE">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PCW_GPIO_MIO_GPIO_IO">MIO</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PCW_GPIO_EMIO_GPIO_ENABLE">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PCW_GPIO_EMIO_GPIO_IO">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PCW_GPIO_EMIO_GPIO_IO">12</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<spirit:componentInstance>
Expand Down Expand Up @@ -402,7 +402,7 @@
<spirit:componentRef spirit:library="ip" spirit:name="axi_uartlite" spirit:vendor="xilinx.com" spirit:version="2.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="bd:xciName">design_1_axi_uartlite_0_2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="C_BAUDRATE">115200</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="C_BAUDRATE">57600</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<spirit:componentInstance>
Expand Down
22 changes: 22 additions & 0 deletions tk2_linux.xpr
Original file line number Diff line number Diff line change
Expand Up @@ -34,6 +34,28 @@
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_pulse_3_0/design_1_pulse_3_0.xci"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_pulse_2_0/design_1_pulse_2_0.xci"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_pulse_1_0/design_1_pulse_1_0.xci"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_pulse_0_0/design_1_pulse_0_0.xci"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="hdl/design_1.v"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xci"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_xlconcat_0_1/design_1_xlconcat_0_1.xci"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_xbar_1/design_1_xbar_1.xci"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_processing_system7_0_axi_periph_1/design_1_processing_system7_0_axi_periph_1.xci"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_axi_uartlite_1_0/design_1_axi_uartlite_1_0.xci"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_axi_uartlite_0_2/design_1_axi_uartlite_0_2.xci"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_axi_uartlite_0_1/design_1_axi_uartlite_0_1.xci"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_pulse_4_0/design_1_pulse_4_0.xci"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_pwm_1_0/design_1_pwm_1_0.xci"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_pwm_0_1/design_1_pwm_0_1.xci"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_pwm_0_0/design_1_pwm_0_0.xci"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_rst_processing_system7_0_50M_0/design_1_rst_processing_system7_0_50M_0.xci"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_auto_pc_0/design_1_auto_pc_0.xci"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="hw_handoff/design_1.hwh"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="hw_handoff/design_1_bd.tcl"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="hdl/design_1.hwdef"/>
<CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="design_1_ooc.xdc"/>
</File>
<File Path="$PSRCDIR/sources_1/bd/design_1/hdl/design_1_wrapper.v">
<FileInfo>
Expand Down

0 comments on commit 44b4ed1

Please sign in to comment.