Skip to content
This repository has been archived by the owner on Aug 19, 2024. It is now read-only.

Commit

Permalink
ChiselEnum is no longer experimental (#608)
Browse files Browse the repository at this point in the history
  • Loading branch information
ekiwi authored Jan 11, 2023
1 parent 005899f commit 91b5501
Show file tree
Hide file tree
Showing 8 changed files with 3 additions and 13 deletions.
2 changes: 1 addition & 1 deletion src/main/scala/chisel3/internaltest/EnumHelpers.scala
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package chisel3.internaltest

import chisel3.experimental.EnumType
import chisel3.EnumType

/** Helper functions to allow for peeks and better debugging of ChiselEnums.
* This needs to be in a `chisel3` package in order to access the package private
Expand Down
6 changes: 1 addition & 5 deletions src/main/scala/chiseltest/iotesters/PeekPokeTester.scala
Original file line number Diff line number Diff line change
@@ -1,18 +1,14 @@
package chiseltest.iotesters

import chisel3._
import chisel3.experimental._
import chisel3.experimental.{FixedPoint, Interval}
import chisel3.internal.firrtl.KnownBinaryPoint
import chiseltest.ChiselAssertionError
import chiseltest.internal.{Context, FailedExpectException}
import chiseltest.simulator.SimulatorContext
import logger.LazyLogging
import org.scalatest.exceptions.TestFailedException

import scala.collection.immutable
import scala.collection.mutable
import scala.language.implicitConversions
import scala.util.Random
import scala.annotation.{implicitNotFound, tailrec}

// A typeclass that defines the types we can poke, peek, or expect from
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/chiseltest/package.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
import scala.language.implicitConversions
import chiseltest.internal._
import chisel3._
import chisel3.experimental.{DataMirror, Direction, EnumType, FixedPoint, Interval}
import chisel3.experimental.{DataMirror, Direction, FixedPoint, Interval}
import chisel3.experimental.BundleLiterals._
import chisel3.experimental.VecLiterals._
import chisel3.internal.firrtl.KnownBinaryPoint
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -4,8 +4,6 @@ package chiseltest.formal.examples
import chisel3._
import chisel3.util._
import chisel3.experimental.BundleLiterals._
import chisel3.experimental._
import chisel3.util.experimental.BoringUtils
import chiseltest._
import chiseltest.formal._
import chiseltest.experimental._
Expand Down
1 change: 0 additions & 1 deletion src/test/scala/chiseltest/iotesters/EnumSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,6 @@
package chiseltest.iotesters

import chisel3._
import chisel3.experimental.ChiselEnum
import chisel3.util._
import chiseltest._
import chiseltest.simulator.RequiresVerilator
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,6 @@
package chiseltest.iotesters.examples

import chisel3._
import chisel3.experimental.ChiselEnum
import chiseltest.ChiselScalatestTester
import chiseltest.iotesters._
import org.scalatest.flatspec.AnyFlatSpec
Expand Down
1 change: 0 additions & 1 deletion src/test/scala/chiseltest/tests/ChiselEnumTest.scala
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,6 @@ package chiseltest.tests

import chisel3._
import chiseltest._
import chisel3.experimental.ChiselEnum
import org.scalatest.exceptions.TestFailedException
import org.scalatest.flatspec.AnyFlatSpec

Expand Down
1 change: 0 additions & 1 deletion src/test/scala/chiseltest/tests/FaultDecoderTest.scala
Original file line number Diff line number Diff line change
Expand Up @@ -72,7 +72,6 @@ class FaultDecoderTest extends AnyFlatSpec with ChiselScalatestTester with Match
}

ignore should "display names for enums" in { // needs better reflection support in enums
import chisel3.experimental.ChiselEnum
object EnumExample extends ChiselEnum {
val e0, e1, e2 = Value
}
Expand Down

0 comments on commit 91b5501

Please sign in to comment.