Skip to content

Commit

Permalink
VUnit: add_vhdl_builtins
Browse files Browse the repository at this point in the history
  • Loading branch information
umarcor committed May 6, 2024
1 parent 7943761 commit b7ff346
Show file tree
Hide file tree
Showing 2 changed files with 2 additions and 0 deletions.
1 change: 1 addition & 0 deletions control/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -8,6 +8,7 @@
ROOT = Path(__file__).resolve().parent

VU = VUnit.from_argv()
VU.add_vhdl_builtins()
VU.add_verification_components()

LIB = VU.add_library("lib")
Expand Down
1 change: 1 addition & 0 deletions ghdl_ug/vunit_run.py
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,7 @@

# Create VUnit instance by parsing command line arguments
vu = VUnit.from_argv()
vu.add_vhdl_builtins()

# Create library 'lib'
lib = vu.add_library("lib")
Expand Down

0 comments on commit b7ff346

Please sign in to comment.