Skip to content

Commit

Permalink
bump license year
Browse files Browse the repository at this point in the history
  • Loading branch information
zachjs committed May 5, 2024
1 parent a4928a8 commit 4dc672b
Show file tree
Hide file tree
Showing 2 changed files with 2 additions and 2 deletions.
2 changes: 1 addition & 1 deletion LICENSE
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
BSD 3-Clause License

Copyright 2019-2023 Zachary Snow
Copyright 2019-2024 Zachary Snow
Copyright 2011-2015 Tom Hawkins

All rights reserved.
Expand Down
2 changes: 1 addition & 1 deletion src/Job.hs
Original file line number Diff line number Diff line change
Expand Up @@ -106,7 +106,7 @@ defaultJob = Job
&= summary ("sv2v " ++ version)
&= details [ "sv2v converts SystemVerilog to Verilog."
, "More info: https://github.com/zachjs/sv2v"
, "(C) 2019-2023 Zachary Snow, 2011-2015 Tom Hawkins" ]
, "(C) 2019-2024 Zachary Snow, 2011-2015 Tom Hawkins" ]
&= helpArg [explicit, name "help", help "Display this help message"]
&= versionArg [explicit, name "version"]
&= verbosityArgs [ignore] [ignore]
Expand Down

0 comments on commit 4dc672b

Please sign in to comment.