Skip to content

Commit

Permalink
release v0.0.10
Browse files Browse the repository at this point in the history
  • Loading branch information
zachjs committed Jul 23, 2022
1 parent e00582d commit 87642c0
Show file tree
Hide file tree
Showing 2 changed files with 2 additions and 2 deletions.
2 changes: 1 addition & 1 deletion CHANGELOG.md
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
## Unreleased
## v0.0.10

### Breaking Changes

Expand Down
2 changes: 1 addition & 1 deletion sv2v.cabal
Original file line number Diff line number Diff line change
@@ -1,7 +1,7 @@
cabal-version: 2.4

name: sv2v
version: 0.0.9
version: 0.0.10
synopsis: SystemVerilog to Verilog conversion
description:
A tool for coverting SystemVerilog to Verilog. Originally forked from the
Expand Down

0 comments on commit 87642c0

Please sign in to comment.