Skip to content

Commit

Permalink
release v0.0.5
Browse files Browse the repository at this point in the history
  • Loading branch information
zachjs committed Jul 26, 2020
1 parent 2a1e772 commit cadd7de
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion sv2v.cabal
Original file line number Diff line number Diff line change
@@ -1,7 +1,7 @@
cabal-version: 2.4

name: sv2v
version: 0.0.4
version: 0.0.5
synopsis: SystemVerilog to Verilog conversion
description:
A tool for coverting SystemVerilog to Verilog. Originally forked from the
Expand Down

0 comments on commit cadd7de

Please sign in to comment.