Skip to content

Commit

Permalink
release v0.0.2
Browse files Browse the repository at this point in the history
  • Loading branch information
zachjs committed Feb 23, 2020
1 parent b2504af commit da087cc
Show file tree
Hide file tree
Showing 3 changed files with 7 additions and 3 deletions.
6 changes: 5 additions & 1 deletion README.md
Original file line number Diff line number Diff line change
Expand Up @@ -35,7 +35,11 @@ All of sv2v's dependencies are free and open-source.

### Pre-built binaries

We plan on releasing pre-built binaries in the future.
Binaries for Linux and macOS are available on the [releases page]. If your
system is not covered, or you would like to build the latest commit, simple
instructions for building from source are below.

[releases page]: https://github.com/zachjs/sv2v/releases

### Building from source

Expand Down
2 changes: 1 addition & 1 deletion src/Job.hs
Original file line number Diff line number Diff line change
Expand Up @@ -50,7 +50,7 @@ defaultJob = Job
, verbose = nam "verbose" &= help "Retain certain conversion artifacts"
}
&= program "sv2v"
&= summary ("sv2v v0.0.1 (" ++ shortGitHash ++ ")")
&= summary ("sv2v v0.0.2 (" ++ shortGitHash ++ ")")
&= details [ "sv2v converts SystemVerilog to Verilog."
, "More info: https://github.com/zachjs/sv2v"
, "(C) 2019-2020 Zachary Snow, 2011-2015 Tom Hawkins" ]
Expand Down
2 changes: 1 addition & 1 deletion sv2v.cabal
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
name: sv2v
version: 0.0.1
version: 0.0.2
synopsis: SystemVerilog to Verilog conversion
description:
A tool for coverting SystemVerilog to Verilog. Originally forked from the
Expand Down

0 comments on commit da087cc

Please sign in to comment.