Skip to content

Commit

Permalink
release v0.0.8
Browse files Browse the repository at this point in the history
  • Loading branch information
zachjs committed Jul 31, 2021
1 parent 2a551e1 commit da08895
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion sv2v.cabal
Original file line number Diff line number Diff line change
@@ -1,7 +1,7 @@
cabal-version: 2.4

name: sv2v
version: 0.0.7
version: 0.0.8
synopsis: SystemVerilog to Verilog conversion
description:
A tool for coverting SystemVerilog to Verilog. Originally forked from the
Expand Down

0 comments on commit da08895

Please sign in to comment.