Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[Scala3] Scala2 src compatibility changes for Scala3 #4518

Merged
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,7 @@ package chisel3.ltl
import chisel3._
import chisel3.layer.{block, Layer}
import chisel3.util.circt._
import chisel3.experimental.hierarchy.{Instance, Instantiate}
import chisel3.experimental.hierarchy.Instance
import chisel3.experimental.SourceInfo

/** An opaque sequence returned by an intrinsic.
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -5,6 +5,7 @@ import chisel3.reflect.DataMirror
import chisel3.experimental.dataview.reifyIdentityView
import scala.collection.mutable
import java.nio.file.{Files, Path, Paths}
import firrtl.seqToAnnoSeq

package object simulator {

Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -21,7 +21,7 @@ object scanLeftOr {
}

def helper(s: Int, x: UInt): UInt =
if (s >= width) x else helper(s + s, x | (x << s)(width - 1, 0))
if (s >= width) x else helper(s + s, x | (x << s).apply(width - 1, 0))
helper(1, data)(width - 1, 0)
}
}
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/chisel3/stage/ChiselAnnotations.scala
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,7 @@ import chisel3.layer.Layer
import chisel3.internal.{Builder, WarningFilter}
import chisel3.internal.firrtl.ir.Circuit
import chisel3.internal.firrtl.Converter
import firrtl.AnnotationSeq
import firrtl.{annoSeqToSeq, seqToAnnoSeq, AnnotationSeq}
import firrtl.ir.{CircuitWithAnnos, Serializer}
import scala.util.control.NonFatal
import java.io.{BufferedWriter, File, FileWriter}
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/chisel3/stage/package.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ package chisel3

import firrtl._
import firrtl.options.OptionsView

import firrtl.{annoSeqToSeq, seqToAnnoSeq, AnnotationSeq}
import chisel3.internal.firrtl.ir.{Circuit => ChiselCircuit}
import chisel3.stage.CircuitSerializationAnnotation.FirrtlFileFormat

Expand Down
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
package chisel3.stage.phases

import firrtl.AnnotationSeq
import firrtl.{annoSeqToSeq, seqToAnnoSeq, AnnotationSeq}
import firrtl.options.{Dependency, Phase}
import firrtl.options.Viewer.view
import firrtl.transforms.DedupGroupAnnotation
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
package chisel3.stage.phases

import chisel3.stage.ChiselCircuitAnnotation
import firrtl.AnnotationSeq
import firrtl.{annoSeqToSeq, seqToAnnoSeq, AnnotationSeq}
import firrtl.options.{Dependency, OutputAnnotationFileAnnotation, Phase}

/** Adds an [[firrtl.options.OutputAnnotationFileAnnotation]] if one does not exist. This replicates old behavior where
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package chisel3.stage.phases

import firrtl.AnnotationSeq
import firrtl.{annoSeqToSeq, seqToAnnoSeq, AnnotationSeq}
import firrtl.options.{Dependency, Phase}

import chisel3.stage.{ChiselCircuitAnnotation, ChiselOutputFileAnnotation}
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package chisel3.stage.phases

import firrtl.AnnotationSeq
import firrtl.{annoSeqToSeq, seqToAnnoSeq, AnnotationSeq}
import firrtl.options.{Dependency, Phase}
import firrtl.options.Viewer.view

Expand Down
1 change: 1 addition & 0 deletions src/main/scala/chisel3/stage/phases/Checks.scala
Original file line number Diff line number Diff line change
Expand Up @@ -13,6 +13,7 @@ import firrtl.options.{Dependency, OptionsException, Phase}
* `chisel3.stage.ChiselStage`.
*/
class Checks extends Phase {
import firrtl.annoSeqToSeq

override def prerequisites = Seq.empty
override def optionalPrerequisites = Seq.empty
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/chisel3/stage/phases/Convert.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ package chisel3.stage.phases

import chisel3.internal.firrtl.Converter
import chisel3.stage.ChiselCircuitAnnotation
import firrtl.AnnotationSeq
import firrtl.{annoSeqToSeq, seqToAnnoSeq, AnnotationSeq}
import firrtl.options.{Dependency, Phase}
import firrtl.stage.FirrtlCircuitAnnotation

Expand Down
5 changes: 3 additions & 2 deletions src/main/scala/chisel3/stage/phases/Elaborate.scala
Original file line number Diff line number Diff line change
Expand Up @@ -10,13 +10,14 @@ import chisel3.stage.{
ChiselCircuitAnnotation,
ChiselGeneratorAnnotation,
ChiselOptions,
ChiselOptionsView,
DesignAnnotation,
ThrowOnFirstErrorAnnotation
}
import firrtl.AnnotationSeq
import firrtl.{annoSeqToSeq, seqToAnnoSeq, AnnotationSeq}
import firrtl.options.{Dependency, Phase}
import firrtl.options.Viewer.view
import logger.LoggerOptions
import logger.{LoggerOptions, LoggerOptionsView}

import scala.collection.mutable.ArrayBuffer

Expand Down
6 changes: 3 additions & 3 deletions src/main/scala/chisel3/stage/phases/Emitter.scala
Original file line number Diff line number Diff line change
Expand Up @@ -2,11 +2,11 @@

package chisel3.stage.phases

import firrtl.AnnotationSeq
import firrtl.options.{Dependency, Phase, StageOptions}
import firrtl.{annoSeqToSeq, seqToAnnoSeq, AnnotationSeq}
import firrtl.options.{Dependency, Phase, StageOptions, StageOptionsView}
import firrtl.options.Viewer.view

import chisel3.stage.{ChiselCircuitAnnotation, ChiselOptions, CircuitSerializationAnnotation}
import chisel3.stage.{ChiselCircuitAnnotation, ChiselOptions, ChiselOptionsView, CircuitSerializationAnnotation}
import CircuitSerializationAnnotation.FirrtlFileFormat

import java.io.{BufferedOutputStream, File, FileOutputStream}
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/chisel3/testers/TesterDriver.scala
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,7 @@ package chisel3.testers
import chisel3._
import chisel3.stage.phases.{Convert, Elaborate, Emitter}
import chisel3.stage.{ChiselCircuitAnnotation, ChiselGeneratorAnnotation}
import firrtl.AnnotationSeq
import firrtl.{annoSeqToSeq, seqToAnnoSeq, AnnotationSeq}
import firrtl.annotations.NoTargetAnnotation
import firrtl.options.{Dependency, Phase, PhaseManager, TargetDirAnnotation, Unserializable}
import firrtl.stage.FirrtlCircuitAnnotation
Expand Down
8 changes: 5 additions & 3 deletions src/main/scala/chisel3/util/BitwiseImpl.scala
Original file line number Diff line number Diff line change
Expand Up @@ -56,11 +56,13 @@ private[chisel3] trait ReverseImpl {
var res = in
var shift = length >> 1
var mask = ((BigInt(1) << length) - 1).asUInt(length.W)
do {
var initial = true
while (initial || shift > 0) {
initial = false
mask = mask ^ (mask(length - shift - 1, 0) << shift)
res = ((res >> shift) & mask) | ((res(length - shift - 1, 0) << shift) & ~mask)
res = ((res >> shift) & mask) | ((res(length - shift - 1, 0) << shift) & !mask)
shift = shift >> 1
} while (shift > 0)
}
Comment on lines -59 to +65
Copy link
Member

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

This caused RTL change in Rocket ALU, related code:
https://github.com/chipsalliance/t1/blob/336d0adddaf4c15eb23425193f9a560fc9ac8f26/rocketv/src/ALU.scala#L94

original:

module ALU(
  input         dw,
  input  [3:0]  fn,
  input  [31:0] in2,
                in1,
  output [31:0] out,
                adder_out,
  output        cmp_out
);

  wire [31:0] in2_inv = {32{fn[3]}} ^ in2;
  wire [31:0] in1_xor_in2 = in1 ^ in2_inv;
  wire [31:0] _view__adder_out_T_3 = in1 + in2_inv + {31'h0, fn[3]};
  wire        slt = in1[31] == in2[31] ? _view__adder_out_T_3[31] : fn[1] ? in2[31] : in1[31];
  wire [4:0]  shamt = in2[4:0];
  wire        _shout_T = fn == 4'h5;
  wire        _shout_T_1 = fn == 4'hB;
  wire [18:0] _GEN = {in1[5:4], in1[7:6], in1[9:8], in1[11:10], in1[13:12], in1[15:14], in1[17:16], in1[19:18], in1[21:20], in1[23]} & 19'h55555;
  wire [31:0] shin =
    _shout_T | _shout_T_1
      ? in1
      : {in1[0],
         in1[1],
         in1[2],
         in1[3],
         in1[4],
         _GEN[18:15] | {in1[7:6], in1[9:8]} & 4'h5,
         in1[9],
         _GEN[13] | in1[10],
         in1[11],
         in1[12],
         _GEN[10:7] | {in1[15:14], in1[17:16]} & 4'h5,
         in1[17],
         _GEN[5] | in1[18],
         in1[19],
         in1[20],
         {_GEN[2:0], 1'h0} | {in1[23:22], in1[25:24]} & 4'h5,
         in1[25],
         in1[26],
         in1[27],
         in1[28],
         in1[29],
         in1[30],
         in1[31]};
  wire [32:0] _shout_r_T_5 = $signed($signed({fn[3] & shin[31], shin}) >>> shamt);
  wire [31:0] shout_r = _shout_r_T_5[31:0];
  wire [18:0] _GEN_0 = {shout_r[5:4], shout_r[7:6], shout_r[9:8], shout_r[11:10], shout_r[13:12], shout_r[15:14], shout_r[17:16], shout_r[19:18], shout_r[21:20], shout_r[23]} & 19'h55555;
  wire [31:0] shout_l =
    {shout_r[0],
     shout_r[1],
     shout_r[2],
     shout_r[3],
     shout_r[4],
     _GEN_0[18:15] | {shout_r[7:6], shout_r[9:8]} & 4'h5,
     shout_r[9],
     _GEN_0[13] | shout_r[10],
     shout_r[11],
     shout_r[12],
     _GEN_0[10:7] | {shout_r[15:14], shout_r[17:16]} & 4'h5,
     shout_r[17],
     _GEN_0[5] | shout_r[18],
     shout_r[19],
     shout_r[20],
     {_GEN_0[2:0], 1'h0} | {shout_r[23:22], shout_r[25:24]} & 4'h5,
     shout_r[25],
     shout_r[26],
     shout_r[27],
     shout_r[28],
     shout_r[29],
     shout_r[30],
     shout_r[31]};
  wire [31:0] shout = (_shout_T | _shout_T_1 ? shout_r : 32'h0) | (fn == 4'h1 ? shout_l : 32'h0);
  wire        in2_not_zero = |in2;
  wire        _logic_T_4 = fn == 4'h6;
  wire [31:0] logic_0 = (fn == 4'h4 | _logic_T_4 ? in1_xor_in2 : 32'h0) | (_logic_T_4 | fn == 4'h7 ? in1 & in2 : 32'h0);
  wire [31:0] shift_logic = {31'h0, fn > 4'hB & slt} | logic_0 | shout;
  wire [31:0] out_1 = fn == 4'h0 | fn == 4'hA ? _view__adder_out_T_3 : shift_logic;
  assign out = out_1;
  assign adder_out = _view__adder_out_T_3;
  assign cmp_out = fn[0] ^ (fn[3] ? slt : in1_xor_in2 == 32'h0);
endmodule

now:

module ALU(
  input         dw,
  input  [3:0]  fn,
  input  [31:0] in2,
                in1,
  output [31:0] out,
                adder_out,
  output        cmp_out
);

  wire [31:0] in2_inv = {32{fn[3]}} ^ in2;
  wire [31:0] in1_xor_in2 = in1 ^ in2_inv;
  wire [31:0] _view__adder_out_T_3 = in1 + in2_inv + {31'h0, fn[3]};
  wire        slt = in1[31] == in2[31] ? _view__adder_out_T_3[31] : fn[1] ? in2[31] : in1[31];
  wire [4:0]  shamt = in2[4:0];
  wire        _shout_T = fn == 4'h5;
  wire        _shout_T_1 = fn == 4'hB;
  wire [31:0] shin = _shout_T | _shout_T_1 ? in1 : {31'h0, in1[31]};
  wire [32:0] _shout_r_T_5 = $signed($signed({fn[3] & shin[31], shin}) >>> shamt);
  wire [31:0] shout_r = _shout_r_T_5[31:0];
  wire [31:0] shout_l = {31'h0, shout_r[31]};
  wire [31:0] shout = (_shout_T | _shout_T_1 ? shout_r : 32'h0) | (fn == 4'h1 ? shout_l : 32'h0);
  wire        in2_not_zero = |in2;
  wire        _logic_T_4 = fn == 4'h6;
  wire [31:0] logic_0 = (fn == 4'h4 | _logic_T_4 ? in1_xor_in2 : 32'h0) | (_logic_T_4 | fn == 4'h7 ? in1 & in2 : 32'h0);
  wire [31:0] shift_logic = {31'h0, fn > 4'hB & slt} | logic_0 | shout;
  wire [31:0] out_1 = fn == 4'h0 | fn == 4'hA ? _view__adder_out_T_3 : shift_logic;
  assign out = out_1;
  assign adder_out = _view__adder_out_T_3;
  assign cmp_out = fn[0] ^ (fn[3] ? slt : in1_xor_in2 == 32'h0);
endmodule

Copy link
Member

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

I have never used do while before, but I think it always did for at least one time.

res
case _ =>
val half = (1 << log2Ceil(length)) / 2
Expand Down
4 changes: 2 additions & 2 deletions src/main/scala/chisel3/util/OneHot.scala
Original file line number Diff line number Diff line change
Expand Up @@ -61,8 +61,8 @@ object UIntToOH {
case 1 => 1.U(1.W)
case _ =>
val shiftAmountWidth = log2Ceil(width)
val shiftAmount = in.pad(shiftAmountWidth)(shiftAmountWidth - 1, 0)
(1.U << shiftAmount)(width - 1, 0)
val shiftAmount = in.pad(shiftAmountWidth).apply(shiftAmountWidth - 1, 0)
(1.U << shiftAmount).apply(width - 1, 0)
}
}

Expand Down
3 changes: 1 addition & 2 deletions src/main/scala/chisel3/util/circt/DPI.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,8 +3,7 @@
package chisel3.util.circt.dpi

import chisel3._

import chisel3.experimental.{IntParam, IntrinsicModule, Param, StringParam}
import chisel3.experimental.{fromIntToIntParam, fromStringToStringParam, IntParam, IntrinsicModule, Param, StringParam}

private object GetDPIParams {
def apply(
Expand Down
1 change: 1 addition & 0 deletions src/main/scala/chisel3/util/circt/PlusArgsTest.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,6 +3,7 @@
package chisel3.util.circt

import chisel3._
import chisel3.experimental.fromStringToStringParam
import chisel3.internal.Builder

object PlusArgsTest {
Expand Down
16 changes: 9 additions & 7 deletions src/main/scala/chisel3/util/circt/PlusArgsValue.scala
Original file line number Diff line number Diff line change
Expand Up @@ -5,8 +5,14 @@ package chisel3.util.circt
import scala.language.reflectiveCalls

import chisel3._
import chisel3.experimental.fromStringToStringParam
import chisel3.internal.Builder

class PlusArgsRetBundle[T <: Data](gen: => T) extends Bundle {
val found = Output(Bool())
val result = Output(gen)
}

/** Create an intrinsic which generates a verilog \$value\$plusargs. This returns a
* value as indicated by the format string and a flag for whether the value
* was found.
Expand All @@ -21,13 +27,9 @@ object PlusArgsValue {
* b.value
* }}}
*/
def apply[T <: Data](gen: T, str: String) = {
def apply[T <: Data](gen: T, str: String): PlusArgsRetBundle[T] = {
val ty = if (gen.isSynthesizable) chiselTypeOf(gen) else gen
class PlusArgsRetBundle extends Bundle {
val found = Output(Bool())
val result = Output(ty)
}
IntrinsicExpr("circt_plusargs_value", new PlusArgsRetBundle, "FORMAT" -> str)()
IntrinsicExpr("circt_plusargs_value", new PlusArgsRetBundle(ty), "FORMAT" -> str)()
}

/** Creates an intrinsic which calls \$value\$plusargs and returns a default
Expand All @@ -38,7 +40,7 @@ object PlusArgsValue {
* }}}
*/
def apply[T <: Data](gen: T, str: String, default: T): T = {
val result = apply(gen, str)
val result: PlusArgsRetBundle[T] = apply(gen, str)
Mux(result.found, result.result, default)
}
}
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,7 @@ import chisel3.experimental.{annotate, requireIsAnnotatable, ChiselAnnotation}
import chisel3.util.{pla, BitPat}
import chisel3.util.experimental.{getAnnotations, BitSet}
import firrtl.annotations.Annotation
import firrtl.annoSeqToSeq
import logger.LazyLogging

object decoder extends LazyLogging {
Expand Down
Original file line number Diff line number Diff line change
@@ -1,7 +1,7 @@
package chisel3.util.experimental

import chisel3.internal.Builder
import firrtl.AnnotationSeq
import firrtl.{annoSeqToSeq, seqToAnnoSeq, AnnotationSeq}

object getAnnotations {

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/chisel3/verilog.scala
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ package chisel3

import chisel3.stage.ChiselGeneratorAnnotation
import circt.stage.{CIRCTTarget, CIRCTTargetAnnotation, ChiselStage}
import firrtl.{AnnotationSeq, EmittedVerilogCircuitAnnotation}
import firrtl.{annoSeqToSeq, seqToAnnoSeq, AnnotationSeq, EmittedVerilogCircuitAnnotation}
import firrtl.options.{Dependency, PhaseManager}

object getVerilogString {
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/circt/stage/Annotations.scala
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

package circt.stage

import firrtl.AnnotationSeq
import firrtl.{annoSeqToSeq, seqToAnnoSeq, AnnotationSeq}
import firrtl.annotations.{Annotation, NoTargetAnnotation}
import firrtl.options.{CustomFileEmission, HasShellOptions, OptionsException, ShellOption, Unserializable}
import firrtl.options.Viewer.view
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/circt/stage/ChiselStage.scala
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,7 @@ package circt.stage
import chisel3.RawModule
import chisel3.stage.{ChiselCircuitAnnotation, ChiselGeneratorAnnotation, CircuitSerializationAnnotation}
import chisel3.stage.CircuitSerializationAnnotation.FirrtlFileFormat
import firrtl.{AnnotationSeq, EmittedVerilogCircuitAnnotation}
import firrtl.{annoSeqToSeq, seqToAnnoSeq, AnnotationSeq, EmittedVerilogCircuitAnnotation}
import firrtl.options.{CustomFileEmission, Dependency, Phase, PhaseManager, Stage, StageMain, Unserializable}
import firrtl.stage.FirrtlCircuitAnnotation
import logger.LogLevelAnnotation
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/circt/stage/Shell.scala
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,7 @@ import firrtl.options.BareShell
import firrtl.options.TargetDirAnnotation
import logger.{ClassLogLevelAnnotation, LogClassNamesAnnotation, LogFileAnnotation, LogLevelAnnotation}

trait CLI { this: BareShell =>
trait CLI extends BareShell { this: BareShell =>

/** Include options for logging
*
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/circt/stage/package.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ package circt

import circt.stage.{CIRCTOption, CIRCTTargetAnnotation, PreserveAggregate}

import firrtl.AnnotationSeq
import firrtl.{annoSeqToSeq, AnnotationSeq}
import firrtl.options.OptionsView
import firrtl.stage.{FirrtlOption, OutputFileAnnotation}

Expand Down
4 changes: 2 additions & 2 deletions src/main/scala/circt/stage/phases/AddImplicitOutputFile.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,9 +4,9 @@ package circt.stage.phases

import circt.stage.SplitVerilog

import firrtl.AnnotationSeq
import firrtl.{annoSeqToSeq, seqToAnnoSeq, AnnotationSeq}
import firrtl.options.{Dependency, Phase, Viewer}
import firrtl.stage.{FirrtlOptions, OutputFileAnnotation}
import firrtl.stage.{FirrtlOptions, FirrtlOptionsView, OutputFileAnnotation}

/** [[firrtl.options.Phase Phase]] that adds an [[firrtl.stage.OutputFileAnnotation OutputFileAnnotation]] if one does
* not already exist.
Expand Down
17 changes: 13 additions & 4 deletions src/main/scala/circt/stage/phases/CIRCT.scala
Original file line number Diff line number Diff line change
Expand Up @@ -7,19 +7,28 @@ import chisel3.BuildInfo.{firtoolVersion, version => chiselVersion}
import chisel3.InternalErrorException
import chisel3.experimental.hierarchy.core.ImportDefinitionAnnotation
import chisel3.stage.{ChiselCircuitAnnotation, DesignAnnotation, SourceRootAnnotation}
import circt.stage.{CIRCTOptions, CIRCTTarget, EmittedMLIR, PreserveAggregate}
import circt.stage.{CIRCTOptions, CIRCTOptionsView, CIRCTTarget, EmittedMLIR, PreserveAggregate}
import firrtl.annotations.JsonProtocol
import firrtl.ir.CircuitWithAnnos
import firrtl.options.Viewer.view
import firrtl.options.{CustomFileEmission, Dependency, OptionsException, Phase, StageOptions, Unserializable}
import firrtl.stage.FirrtlOptions
import firrtl.{AnnotationSeq, EmittedVerilogCircuit, EmittedVerilogCircuitAnnotation}
import firrtl.options.{
CustomFileEmission,
Dependency,
OptionsException,
Phase,
StageOptions,
StageOptionsView,
Unserializable
}
import firrtl.stage.{FirrtlOptions, FirrtlOptionsView}
import firrtl.{annoSeqToSeq, seqToAnnoSeq, AnnotationSeq, EmittedVerilogCircuit, EmittedVerilogCircuitAnnotation}

import java.io.File
import scala.collection.mutable
import scala.util.control.NoStackTrace
import firrtl.EmittedBtor2CircuitAnnotation
import firrtl.EmittedBtor2Circuit
import org.json4s.convertToJsonInput

private object Helpers {
implicit class LogLevelHelpers(logLevel: LogLevel.Value) {
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/circt/stage/phases/Checks.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ package circt.stage.phases

import circt.stage.{CIRCTTargetAnnotation, SplitVerilog}

import firrtl.AnnotationSeq
import firrtl.{annoSeqToSeq, AnnotationSeq}
import firrtl.annotations.Annotation
import firrtl.options.{Dependency, OptionsException, Phase, TargetDirAnnotation}
import firrtl.stage.OutputFileAnnotation
Expand Down