Skip to content

Commit

Permalink
v0.3.0
Browse files Browse the repository at this point in the history
# New Features

* Added news section at the documentation landing page.
* Added Jinja template for autoapi to change the class documentation layout.

# Changes

* Bumped dependencies.
* Removed Work-in-Progress banner.
* Changed package state from `alpha` to `stable`.
* Updated PR template.

# Bug Fixes

* Fixed some typos.

-------------------
# Related PRs:

* pyTooling/Actions#39 (dependency)
  • Loading branch information
umarcor committed Mar 14, 2022
2 parents bf03e20 + 6154c7c commit 2ac3959
Show file tree
Hide file tree
Showing 16 changed files with 219 additions and 51 deletions.
38 changes: 26 additions & 12 deletions .github/dependabot.yml
Original file line number Diff line number Diff line change
@@ -1,15 +1,29 @@
version: 2
updates:
- package-ecosystem: pip
directory: "/"
target-branch: dev
commit-message:
prefix: "[Dependabot]"
labels:
# Maintain Python packages
- package-ecosystem: "pip"
directory: "/"
target-branch: dev
commit-message:
prefix: "[Dependabot]"
labels:
- Dependencies
assignees:
- Paebbels
reviewers:
- Paebbels
schedule:
interval: daily
reviewers:
- Paebbels
- Umarcor
schedule:
interval: "daily" # Checks on Monday trough Friday.

# Maintain GitHub Action runners
- package-ecosystem: "github-actions"
directory: "/"
target-branch: dev
commit-message:
prefix: "[Dependabot]"
labels:
- Dependencies
reviewers:
- Paebbels
- Umarcor
schedule:
interval: "weekly"
7 changes: 6 additions & 1 deletion .github/pull_request_template.md
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
# New Features

* tbd

# Changes
Expand All @@ -9,3 +9,8 @@
# Bug Fixes

* tbd

----------
# Related PRs:

* tbd
3 changes: 3 additions & 0 deletions .idea/pyEDAA.UCIS.iml

Some generated files are not rendered by default. Learn more about how customized files appear on GitHub.

4 changes: 1 addition & 3 deletions README.md
Original file line number Diff line number Diff line change
Expand Up @@ -24,16 +24,14 @@

Unified Coverage Interoperability Standard (UCIS)

<p align="center">
<a title="edaa-org.github.io/pyEDAA.UCIS" href="https://edaa-org.github.io/pyEDAA.UCIS"><img height="275px" src="doc/_static/work-in-progress.png"/></a>
</p>

## Main Goals

* Parse UCDB files and provide a UCDB data model.
* Export and convert data from UCDB to Cobertura format.
* Also support flavors not following the Unified Coverage Interoperability Standard (UCIS).


## Use Cases

* Collect and merge code coverage with Active-HDL / Riviera-PRO and convert via UCDB format to Cobertura files, so code coverage can be published to e.g. GitLab, Codacy or CodeCov.
Expand Down
18 changes: 9 additions & 9 deletions doc/Dependency.rst
Original file line number Diff line number Diff line change
Expand Up @@ -27,9 +27,9 @@ pyEDAA.UCIS Package
+---------------------------------------------------------------+-------------+-------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------+
| **Package** | **Version** | **License** | **Dependencies** |
+===============================================================+=============+===========================================================================================+========================================================================================================================================================+
| `pyTooling <https://github.com/pyTooling/pyTooling>`__ | ≥1.9.4 | `Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.txt>`__ | *None* |
| `pyTooling <https://github.com/pyTooling/pyTooling>`__ | ≥1.9.5 | `Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.txt>`__ | *None* |
+---------------------------------------------------------------+-------------+-------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------+
| `pyAttributes <https://github.com/pyTooling/pyAttributes>`__ | ≥2.5.0 | `Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.txt>`__ | * `pyTooling <https://github.com/pyTooling/pyTooling>`__ - `Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.txt>`__ |
| `pyAttributes <https://github.com/pyTooling/pyAttributes>`__ | ≥2.5.1 | `Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.txt>`__ | * `pyTooling <https://github.com/pyTooling/pyTooling>`__ - `Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.txt>`__ |
| | | | * `argcomplete <https://github.com/kislyuk/argcomplete>`__ - `Apache License, 2.0 <https://github.com/kislyuk/argcomplete/blob/develop/LICENSE.rst>`__ |
+---------------------------------------------------------------+-------------+-------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------+

Expand Down Expand Up @@ -58,15 +58,15 @@ the mandatory dependencies too.
+-----------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+
| **Package** | **Version** | **License** | **Dependencies** |
+===========================================================+=============+========================================================================================+======================+
| `pytest <https://github.com/pytest-dev/pytest>`__ |6.2.5 | `MIT <https://github.com/pytest-dev/pytest/blob/master/LICENSE>`__ | *Not yet evaluated.* |
| `pytest <https://github.com/pytest-dev/pytest>`__ |7.0.1 | `MIT <https://github.com/pytest-dev/pytest/blob/master/LICENSE>`__ | *Not yet evaluated.* |
+-----------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+
| `pytest-cov <https://github.com/pytest-dev/pytest-cov>`__ | ≥3.0.0 | `MIT <https://github.com/pytest-dev/pytest-cov/blob/master/LICENSE>`__ | *Not yet evaluated.* |
+-----------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+
| `Coverage <https://github.com/nedbat/coveragepy>`__ | ≥6.2 | `Apache License, 2.0 <https://github.com/nedbat/coveragepy/blob/master/LICENSE.txt>`__ | *Not yet evaluated.* |
| `Coverage <https://github.com/nedbat/coveragepy>`__ | ≥6.3 | `Apache License, 2.0 <https://github.com/nedbat/coveragepy/blob/master/LICENSE.txt>`__ | *Not yet evaluated.* |
+-----------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+
| `mypy <https://github.com/python/mypy>`__ | ≥0.931 | `MIT <https://github.com/python/mypy/blob/master/LICENSE>`__ | *Not yet evaluated.* |
+-----------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+
| `lxml <https://github.com/lxml/lxml>`__ | ≥4.6.4 | `BSD 3-Clause <https://github.com/lxml/lxml/blob/master/LICENSE.txt>`__ | *Not yet evaluated.* |
| `lxml <https://github.com/lxml/lxml>`__ | ≥4.8 | `BSD 3-Clause <https://github.com/lxml/lxml/blob/master/LICENSE.txt>`__ | *Not yet evaluated.* |
+-----------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+


Expand Down Expand Up @@ -94,15 +94,15 @@ the mandatory dependencies too.
+-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
| **Package** | **Version** | **License** | **Dependencies** |
+=================================================================================================+==============+==========================================================================================================+======================================================================================================================================================+
| `pyTooling <https://github.com/pyTooling/pyTooling>`__ | ≥1.9.4 | `Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.md>`__ | *None* |
| `pyTooling <https://github.com/pyTooling/pyTooling>`__ | ≥1.9.5 | `Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.md>`__ | *None* |
+-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
| `Sphinx <https://github.com/sphinx-doc/sphinx>`__ | ≥4.3.0 | `BSD 3-Clause <https://github.com/sphinx-doc/sphinx/blob/master/LICENSE>`__ | *Not yet evaluated.* |
| `Sphinx <https://github.com/sphinx-doc/sphinx>`__ | ≥4.4.0 | `BSD 3-Clause <https://github.com/sphinx-doc/sphinx/blob/master/LICENSE>`__ | *Not yet evaluated.* |
+-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
| `sphinx_btd_theme <https://github.com/buildthedocs/sphinx.theme>`__ | ≥0.5.2 | `MIT <https://github.com/buildthedocs/sphinx.theme/blob/master/LICENSE>`__ | *Not yet evaluated.* |
+-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
| !! `sphinx_fontawesome <https://github.com/fraoustin/sphinx_fontawesome>`__ | ≥0.0.6 | `GPL 2.0 <https://github.com/fraoustin/sphinx_fontawesome/blob/master/LICENSE>`__ | *Not yet evaluated.* |
+-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
| `sphinx_autodoc_typehints <https://github.com/agronholm/sphinx-autodoc-typehints>`__ | ≥1.14.1 | `MIT <https://github.com/agronholm/sphinx-autodoc-typehints/blob/master/LICENSE>`__ | *Not yet evaluated.* |
| `sphinx_autodoc_typehints <https://github.com/agronholm/sphinx-autodoc-typehints>`__ | ≥1.17.0 | `MIT <https://github.com/agronholm/sphinx-autodoc-typehints/blob/master/LICENSE>`__ | *Not yet evaluated.* |
+-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+


Expand Down Expand Up @@ -130,7 +130,7 @@ install the mandatory dependencies too.
+----------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
| **Package** | **Version** | **License** | **Dependencies** |
+============================================================================+==============+==========================================================================================================+======================================================================================================================================================+
| `pyTooling <https://github.com/pyTooling/pyTooling>`__ | ≥1.9.4 | `Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.md>`__ | *None* |
| `pyTooling <https://github.com/pyTooling/pyTooling>`__ | ≥1.9.5 | `Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.md>`__ | *None* |
+----------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
| `wheel <https://github.com/pypa/wheel>`__ | any | `MIT <https://github.com/pypa/wheel/blob/main/LICENSE.txt>`__ | *Not yet evaluated.* |
+----------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
Expand Down
108 changes: 108 additions & 0 deletions doc/_templates/autoapi/module.rst
Original file line number Diff line number Diff line change
@@ -0,0 +1,108 @@
.. # Template modified by Patrick Lehmann
* removed automodule on top, because private members are activated for autodoc (no doubled documentation).
* Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
=={{ '=' * node.name|length }}==
``{{ node.name }}``
=={{ '=' * node.name|length }}==

{##}
{%- block modules -%}
{%- if subnodes %}

**Submodules**


.. toctree::
{% for item in subnodes %}
{{ item.name }}
{%- endfor %}
{##}
{%- endif -%}
{%- endblock -%}
{##}
.. currentmodule:: {{ node.name }}
{##}
{%- block functions -%}
{%- if node.functions %}

**Functions**

{% for item, obj in node.functions.items() -%}
- :py:func:`{{ item }}`:
{{ obj|summary }}

{% endfor -%}

{% for item in node.functions %}
.. autofunction:: {{ item }}
{##}
{%- endfor -%}
{%- endif -%}
{%- endblock -%}

{%- block classes -%}
{%- if node.classes %}

**Classes**

{% for item, obj in node.classes.items() -%}
- :py:class:`{{ item }}`:
{{ obj|summary }}

{% endfor -%}

{% for item in node.classes %}
.. autoclass:: {{ item }}
:members:

.. rubric:: Inheritance
.. inheritance-diagram:: {{ item }}
:parts: 1
{##}
{%- endfor -%}
{%- endif -%}
{%- endblock -%}

{%- block exceptions -%}
{%- if node.exceptions %}

**Exceptions**

{% for item, obj in node.exceptions.items() -%}
- :py:exc:`{{ item }}`:
{{ obj|summary }}

{% endfor -%}

{% for item in node.exceptions %}
.. autoexception:: {{ item }}

.. rubric:: Inheritance
.. inheritance-diagram:: {{ item }}
:parts: 1
{##}
{%- endfor -%}
{%- endif -%}
{%- endblock -%}

{%- block variables -%}
{%- if node.variables %}

**Variables**

{% for item, obj in node.variables.items() -%}
- :py:data:`{{ item }}`
{% endfor -%}

{% for item, obj in node.variables.items() %}
.. autodata:: {{ item }}
:annotation:

.. code-block:: text
{{ obj|pprint|indent(6) }}
{##}
{%- endfor -%}
{%- endif -%}
{%- endblock -%}
Loading

0 comments on commit 2ac3959

Please sign in to comment.