Skip to content

Releases: mrLSD/fpga

v0.1.0

23 Sep 16:17
ef5a3a3
Compare
Choose a tag to compare

v0.1.0

  • Added board Sipeed TangNano 9k
  • Added Sipeed TangNano 9k project: LCD screen
  • Separated board structures: Altera, Sipeed