Skip to content

Commit

Permalink
moved README.me to SLAC protocol confluence page and updated code hea…
Browse files Browse the repository at this point in the history
…der hyperlinks
  • Loading branch information
ruck314 committed Sep 26, 2024
1 parent a4a8840 commit 5f8e452
Show file tree
Hide file tree
Showing 21 changed files with 21 additions and 45 deletions.
26 changes: 0 additions & 26 deletions protocols/pgp/pgp2fc/README.md

This file was deleted.

2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcAlignmentChecker.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 2 additions & 0 deletions protocols/pgp/pgp2fc/core/rtl/Pgp2fcAlignmentController.vhd
Original file line number Diff line number Diff line change
@@ -1,4 +1,6 @@
-------------------------------------------------------------------------------
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: GTH RX Byte Alignment Controller
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcAxi.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcLane.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcPkg.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcRx.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcRxCell.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcRxPhy.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcTx.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcTxCell.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcTxPhy.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/rtl/Pgp2fcTxSched.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/tb/Pgp2fcLane_tb.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2b: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/core/tb/RoguePgp2fcSim.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2fc: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2b: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/gthUltraScale+/rtl/Pgp2fcGthUltra.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2b: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/gtp7/rtl/Pgp2fcGtp7.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2b: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/gtp7/rtl/Pgp2fcGtp7Wrapper.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2b: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2b: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion protocols/pgp/pgp2fc/gtyUltraScale+/rtl/Pgp2fcGtyUltra.vhd
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
-------------------------------------------------------------------------------
-- Title : PGPv2b: https://confluence.slac.stanford.edu/x/q86fD
-- Title : PGP2fc: https://confluence.slac.stanford.edu/x/JhItHw
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
Expand Down

0 comments on commit 5f8e452

Please sign in to comment.